Разработка устройства обработки информации на базе ЦСП

Анализ выбора цифрового сигнального процессора и структурной схемы устройства обработки информации. Расчет надежности устройства и производительности обмена данных, разработка ленточного графика. Обзор особенностей радиального и межмодульного интерфейса.

Рубрика Программирование, компьютеры и кибернетика
Вид дипломная работа
Язык русский
Дата добавления 20.05.2012
Размер файла 1,8 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Исходя, из выше сказанного можно сказать, что двухпортовоя память с переключаемыми банками наиболее подходит для модуля обработки сигналов. Емкость памяти выбираем разрядностью данных 32 бит и глубиной достаточной для хранения требующейся информации.

3.5 Выбор ПЗУ

При построении модуля обработки информации нам необходимо выбрать внешнее постоянное запоминающееся устройство, на котором должны быть необходимые тестовые программы, по которой будет проверяться модуль обработки сигналов. Сейчас существуют ПЗУ выполненные в виде квази-диска - это так называемые Flash ПЗУ. Для нашей разработки выберем параллельное ПЗУ так как оно имеет быстродействие большее, чем последовательное ПЗУ. Емкость памяти выбираем разрядностью данных 32 бит и глубиной достаточной для хранения требующейся информации.

3.6 Функциональная схема модуля обработки информации

Рассмотрев структуру процессора, выбрав элементы памяти можно предложить функциональную схему следующего вида рисунок 3.11.

рисунок 3.11

4. Расчет производительности обмена данных

Произведем расчет производительности каналов обмена данными в устройстве.

Входные данные поступают со специализированного АЦП с частотой 15МГц формата 16х16, где первые 16 разрядов представляют действительную часть, а вторые 16 разрядов мнимую.

Данные поступают в параллельном формате, для того чтобы данные поступили на контроллер ввода их необходимо передать, как уже отмечалось выше, параллельный интерфейс, для, передачи, данных не подходит, поэтому будем использовать последовательный интерфейс.

Определим необходимую пропускную способность канала.

15·106·32=480Мбит/с

Пропускная способность последовательного канала должна составлять порядка 500Мбит/с.

В данном дипломном проекте используется LVDS интерфейс данные, в котором передаются последовательно по 10 бит два бита, из которых являются служебными.

Определим частоту последовательного канала передачи данных, в настоящие время используются два типа микросхем (исходя из экономических показателей) MAX 9205-9206 и MAX 9207-9208.

Микросхема MAX 9205 это преобразователь параллельного кода в последовательный, а микросхема MAX 9206 преобразователь последовательного кода в параллельный. Отличие микросхем 05-06 от 07-08 заключается в том, что они могут работать на разных частотах 05-06 на частоте 40МГц, а микросхемы 07-08 на частоте 60МГц.

10·106·40=400Мбит/с для микросхемы 05-06

10·106·60=600Мбит/с для микросхемы 07-08

Исходя из расчета, выбираем микросхемы MAX 9207-9208, так как они обеспечивают необходимую пропускную способность канала.

Для того чтобы поступающие данные обрабатывались в реальном масштабе времени необходимо высокое быстродействие, которое подразумевает под собой время, за которое данные будут обработаны сигнальным процессором, промежуточные значения помещены в локальную память, сформирован массив значений, а затем передан по шине Compact PCI универсальному процессору.

Определим скорость обмена и передачи данных между ЦСП ОЗУ и шиной Compact PCI.

Будем исходить из того, что процессор работает на частоте 120 МГц, используемая память, работает на частоте процессора, пропускная способность шины PCI составляет 1056Мбит/с.

Рассмотрим следующие этапы преобразования информации внутри МОСа.

1. Накопление данных во входном буфере

2. Поступление данных во внутреннюю память процессора

3. Обработка информации и помещение ее в локальное ОЗУ

4. Передача информации по шине PCI универсальному процессору

Во входной буфер данные поступают с частотой 15МГц разрядностью 32 бита, темп поступления составляет 10мс, после того, как данные поступили в буфер память переключает блоки за время порядка 120нсек.

За время 10-2секунды данные должны поступить во внутренние ОЗУ процессора, по определенному алгоритму обработаться, после чего промежуточные значения поступают в локальное ОЗУ, затем формируется массив значений объемом 512Kb, и он поступает в ОЗУ обмена контроллера PCI.

Определим, за какое количество тактов данные поступят в ОЗУ обмена контроллера PCI, если известно, что массив данных состоит из 256 отсчетов каждый отсчет представлен 512 значениями 32 разрядных слов, частота работы процессора 120МГц, темп поступления нового массива составляет 10мсек.

Рассмотрим схему прохождения данных внутри модуля рисунок 4.1

Как видно из рисунка за время 10мсек необходимо произвести 4 операции две операции записи и две операции чтения.

Передача данных осуществляется за 1 такт, за 5 тактов осуществляется установка блочного режима памяти, отсюда следует можно легко подсчитать количество тактов необходимое для передачи массива значений на ОЗУ обмена.

512·(256·1такт + 5тактов)=133632, т.е. такое количество тактовых импульсов потребуется для чтения данных из входного буфера контроллера ввода, а соответственно для 4 операций потребуется 534528 тактов.

Определим, сколько тактов формирует процессор за 10мсек. За 1 секунду формируется 120миллионов тактов, отсюда следует, что за 10мсек будет сформировано 1,2 миллиона тактов, что является достаточным для выполнения двух операций записи и двух операций чтения.

Отметим, что во время преобразования информации объем ее остается постоянным, а именно это будет массив размером 512Kb, темп поступления новой информации составляет 10мс.

Определим, какое количество информации будет передано за 1 секунду, размер массива 512 Kb.

Получим , т.е. за 1 секунду необходимо передать 51Мбайт, максимальная пропускная способность шины PCI составляет, 132Мбайт/сек, что является достаточным для передачи такого объема информации.

Выше рассматривалась самая критическая ситуация, когда вся поступающая информация приходится только на один ЦСП, а в данном модуле используется два таких процессора, поэтому входной поток информации будет обработан как в случае последовательной работы процессоров, так ив случае их параллельной работы.

5. Описание принципиальной электрической схемы

Согласно со структурной схемой, описанной в пункте 2.2, разработали принципиальную электрическую схему, представленную в приложении .

Разберем принципиальную схему по элементам. На 1-м листе представлены 2 встроенных источника питания. Элементы DD1 (MAX1626ESA), VT1 (NDS8434A), VD7 (30BQ040), L1 (IHSM - 4825 18 мкГн ± 5%) - образуют импульсный стабилизатор напряжения, который вырабатывает сигнал VCC - 3.3 В. DD4 - линейный стабилизатор, выполнен на микросхеме LM1085IT -ADJ с выходным переменным напряжением, которое задают делители (резисторы) R5 и R6. Он формирует сигнал VCC3 номиналом 1.8 В . Схема линейного стабилизатора и расчет резисторов приведены ниже.

Расчет резисторов стабилизатора рассчитывается по формуле (4.1). Выходное напряжение Vout можно изменять в пределах 1.2 В - 15 В.

,(4.1)

т.к. на выходе Vout = 1.8 В, то

0.44R6 = R5.

Возьмем R5 = 100 Ом ± 5 %, тогда R6 = 227.(27) Ом. Выберем из стандартного ряда резистор сопротивлением 220 Ом ± 5 %.

Также на этом листе схемы элемент DD2 представляет центральный процессор IDT79RV4650- 150MHz, DD3 - тактовый генератор (SG-8002JA-30 МГц) с тактовой частотой 30 МГц, DD5.1 - DD5.2 - ядро программируемой логической интегральной схемы EP20K200E, DD6 и DD7 - 2 микросхемы (EPC2LI20) ПЗУ конфигурации контроллера. Микросхема DD8 - это загрузочное ПЗУ фирмы AMD Am29LV040B. Элементами DD9 - DD16 являются 8 микросхем электронного диска (флэш ПЗУ) - AT45DB321.

На 2-м листе принципиальной электрической схемы (стр.29) показаны элементы DD17 - DD32, представляющие 16 микросхем асинхронного статического ОЗУ (IDT71V424S12PHI).

На 3-м листе схемы (стр.30) в качестве буферов магистрали ISA DD33 - DD42 приведены микросхемы IN74НСТ245AD. Для поддержания логического уровня и соответствующих стандартов на ISA к выходу буферов, через питание +5 В, подключена резистивная матрица (элементы A1 - A18) типа САТ16 номиналом 1 КОм. Разъем магистрали Х1 (БЕЖК.434464.00) используется для стыковки процессорного модуля с бортовым компьютером.

На печатной плате установлена группа светодиодов марки 3Л341 Г (VD1 - VD6), которые для зрительного восприятия выведены на планку процессорного модуля. Из них VD5 предназначен для индикации наличия сетевого напряжения питания +5 В, VD6 - для контролирования работоспособности встроенного источника питания, который вырабатывает сигнал VCC (+3.3 В). Остальные светодиоды установлены с целью контроля работоспособности устройства.

На внешней стороне планки модуля установлен разъем типа СНП 268 для подключения кабеля интерфейса RS - 232, используемого в технологических целях (Х2). Элементы DD43 - DD44 - преобразователи уровня для порта Com2, выполнены в виде микросхем MAX3243EWI фирмы MAXIM.

Разъемы Х3 - Х5 типа PLD10 предназначены для интерфейса JTAG. Он используется для программирования ПЛИС и ПЗУ конфигурации.

При работе модуля возникает большое количество шумов, помех, которые могут заглушить основные сигналы элементов устройства. Поэтому с целью защиты от помех в систему необходимо включать фильтры, сглаживающие помехи. Помехи бывают 2-х типов высокочастотные (ВЧ) и низкочастотные (НЧ). НЧ помехи возникают в питающей цепи. Для борьбы с ними устанавливаем на вводах питания, во избежание их дальнейшего распространения, электролитические конденсаторы большой емкости 100мкФ. ВЧ помехи возникают на выходах всех элементов схемы, т.к. они работают на большой частоте. Поэтому чтобы подавить шумы в местах их возникновения, размещаем керамические конденсаторы емкостью 0.1 мкФ на выходах элементов схемы.

6. Расчет надежности устройства

Под надёжностью устройства понимают свойство, обеспечивающее возможность выполнения этим устройством заданных функций с заданными параметрами (характеристиками), в определённых условиях эксплуатации и в течение требуемого интервала времени. Состояние устройства, при котором оно выполняет заданные функции с заданными характеристиками, называют работоспособностью. Свойство устройства сохранять работоспособность в течение требуемого интервала времени называют безотказностью. Нарушение работоспособности устройства называют отказом. Существует, также, понятие ремонтопригодности устройства, заключающееся в возможности предупреждения, обнаружения и устранения отказов путём проведения ремонтов и технического обслуживания.

Часто рассматривают предельное состояние устройства, при достижении которого дальнейшая его эксплуатация прекращается по соображениям безопасности или эффективности. Сохранение работоспособности (при установленной системе технического обслуживания и ремонтов) до предельного состояния называют долговечностью [11].

Таким образом, потенциальной свойство системы - её надёжность в общем случае проявляется в виде составляющих: безотказности, ремонтопригодности и долговечности. В зависимости от назначения системы каждая из указанных составляющих может иметь большее или меньшее значение.

Повышение надёжности является одним из главных направлений повышения качества аппаратуры. Повышенное внимание к вопросам надёжности устройств обусловлено появлением комплексов, состоящих из большого числа устройств, выполняющих различные функции. При этом выход из строя даже одного блока может вызвать нарушение работы всей системы в целом. Поиск такой неисправности может занимать, достаточно большой период времени, что естественно может негативно отразиться на объекте, для которого, и предназначена данная аппаратура.

Исходя из всего сказанного, очень важно оценить надёжность аппаратуры. Обычно надёжность аппаратуры оценивается с помощью вероятностных характеристик. Одной из таких характеристик является вероятность безотказной работы P(t):

(6.1)

где f (t) - плотность распределения вероятности отказа.

При расчёте надёжности аппаратуры принимаются следующие допущения:

*все элементы системы в смысле надёжности соединены последовательно, то есть отказ любого элемента приводит к отказу всей системы;

  • *отказы элементов являются случайными и независимыми;

*интенсивность отказов всех элементов системы не зависит от времени, то есть время работы до отказа элементов и всей системы распределено по экспоненциальному закону.

При расчёте надёжности разрабатываемой аппаратуры, также, возникают дополнительные сложности:

1. оборудование имеет непостоянный состав (в зависимости от конкретного применения). Разные модули имеют различную надёжность, а следовательно будут меняться надёжность аппаратуры в целом.

2. интенсивность отказа для многих элементов аппаратуры неизвестна или известна весьма ориентировочно (в основном для микросхем).

В связи с этим, расчёт надёжности можно произвести лишь к очень приблизительно. Произведём оценочный расчёт надежности модуля обработки информации. Интенсивность отказов радиоэлементов приведена в таблице 6.1.

Таблица 6.1

Наименование

Количество,Ni , ШТ .

min*10-6-1.

max*10-6-1

Ni*10-6, ч-1

min

max

1

Микросхемы

16

2,4

2,5

38,4

40

2

Резисторы CAT16

51

0,2

0,6

10,2

30,6

3

Конденсаторы CAP SMD 0603

66

0,5

0,7

33

46,2

4

Конденсаторы Tantal SMD

11

1,2

2,0

13,2

22

5

Диоды

2

0,3

0,5

0,6

1,0

7

Разъёмы

33

0,2

1,0

6,6

3,3

8

Соединения паянные

398

0,01

0,02

3,98

7,96

Используя данные таблицы, определим параметр потока отказов по формуле:

(6.2)

где S - количество функциональных частей аппаратуры.

Таким образом имеем:

(6.3)

(6.4)

Наработка на отказ вычисляется по формуле:

(6.4)

Подставляя в неё вычисленные значения max и min получаем:

(6,5)

Отсюда легко можно определить и среднее значение наработки на отказ:

7. Разработка алгоритма программы обработки информации

Модуль обработки сигналов (МОС) является устройством осуществляющий обработку информации в многозадачном режиме реального времени.

Алгоритм функционирования устройства включает в себя следующие части

1 Инициализация процессов и задач

2 Выполнение основного цикла задачи, которая запускается событиями, возникающими в системе.

7.1 Алгоритм инициализации МОСа

Алгоритм инициализации МОСа можно разбить на несколько этапов:

1Установка режима аппаратных средств

2Установка векторов прерываний

3Установка параметров вычислительной и периодической задачи

В блоках с 1 по 7 идет установка режимов работы устройств входящих в состав МОС.

В блоках с 8 по 15 устанавливаем вектора аппаратных и программных прерываний.

В оставшихся блоках 16,17 устанавливаем параметры вычислительной и периодической задачи.

Блок схема алгоритма инициализации представлена на рисунке 7.1

Рисунок 7.1

7.2 Алгоритм обработки внешнего аппаратного прерывания контроллера ввода ПДП

  • Обработка аппаратных прерываний выполняется до завершения подпрограммы обработки прерывания и не может быть прервана, поэтому подпрограмма должна быть минимального размера. Блок схема алгоритма приведена на рисунке 7.2
  • Рисунок 7.2
  • 7.3 Алгоритм обработки программного прерывания контроллера ввода ПДП

Если прерывание пришло от контроллера ввода, то устанавливаем указатель на начало буфера ввода, номер блока данных 0. Если прерывание пришло от вычислительной задачи, то устанавливаем указатель буфера входных данных равным сумме начального адреса буфера данных, плюс произведение номера блока на размер блока. После чего программируем контроллер ввода в соответствии с перешедшим кодом прерывания. Блок схема алгоритма представлена на рисунке 7.3

Рисунок 7.3

7.4 Алгоритм обработки программного прерывания сторожевым таймером WDT

По истечению временного интервала WDT таймер формирует аппаратное прерывание.

В обработчике прерывания происходит уменьшение времени ожидания выполнения основного цикла программы (программный WDT таймер). Если интервал программного WDT таймера истек, то проверяется условие, МОС работает в автономном режиме, если нет, то выдается прерывание ведомому процессору по PCI, после чего задача ставится в режим останова и происходит сброс сторожевого таймера.

Блок схема алгоритма приведена на рисунке 7.4

рисунок 7.4

7.5 Алгоритм вычислительной задачи

В алгоритме вычислительной задачи необходимо:

1.Определить какой блок пришел для обработки первый, промежуточный или последний.

2.Обработать блок данных в соответствии с алгоритмом вычислительной подпрограммы.

Блок схема алгоритма вычислительной задачи приведена на рисунке

рисунок 7.5

7.6 Вычислительная подпрограмма

В качестве примера вычислительной подпрограммы используем алгоритм Быстрого Преобразования Фурье (БПФ).

Цель данного раздела ознакомится со средствами программирования CCS. Я зыка программирования Си и Ассемблера для процессоров семейства С6000.

Программа БПФ осуществляет преобразование отсчетов массива X размерностью [nx] из временной области в частотную область. Входные выходные данные размещаются в одном массиве (в одной области памяти). Массив коэффициентов БПФ размещается в массиве [W] n элементов, учитывая, что все данные являются комплексными числами, каждый элемент данных представлен действительной и мнимой составляющей.

Функция FFT_P2 вычисляет БПФ, для числа массивов размерностью n элементов массива [nx] являющейся степенью числа 2(2<=nx<=215)

Входные выходные значения и коэффициенты БПФ загружаются, как 16 разрядные целые числа ассемблерная реализация функции использует порядок расположения байт от младшего к старшему.

Основная вычислительная программа написана на языке программирования Си и использует функцию БПФ (CALC_FFT). И использует функцию реализующую на языке ассемблера TMSC 6000, и написанную в соответствии с согласия о вызовах и передаче параметров языка Си.

Эквивалент функции написанной на языке Си (алгоритм реализуемой ассемблерной функции представлен ниже)

/***************************************************************************/

/* */

/* CALC_FFT.C */

/* */

/* Функция БПФ */

/* */

/************************************************************/

/* Вызов функции быстрого преобразования Фурье */

fft_p2(x_buffer, x_number, w_buffer);

/* Определение функции быстрого преобразования Фурье */

static void fft_p2(short x[], short nx, short w[])

{

short n1, n2, ie, ia, i, j, k, m;

short xt, yt, c, s;

n2 = nx;

ie = 1;

for (k = nx; k > 1; k = (k >> 1)) {

n1 = n2;

n2 = n2 >> 1;

ia = 0;

for (j = 0; j < n2; j++) {

c = w[2 * ia];

s = w[2 * ia + 1];

ia = ia + ie;

for (i = j; i < nx; i += n1) {

m = i + n2;

xt = x[2 * m] - x[2 * i];

x[2 * i] = x[2 * i] + x[2 * m];

yt = x[2 * m + 1] - x[2 * i + 1];

x[2 * i + 1] = x[2 * i + 1] + x[2 * m + 1];

x[2 * m] = (c * xt + s * yt) >> 15;

x[2 * m + 1] = (c * xt - s * yt) >> 15;

}

}

ie = ie << 1;

}

return;

}

  • Функция CALC_FFT реализует БПФ с разряжением по частоте. Коэффициенты W берутся из массива элементы которого вычисляются в соответствии с выражением , где К специальный коэффициент в программе не вычисляется.
  • Приведенный выше текст программы на языке Си является алгоритмом для программы для программы языка Ассемблер.
  • В соответствии с этим алгоритмом выполним программу на языке Ассемблера оптимизированную для процессора TMS320C6701.

; Модуль FFT_P2.ASM реализации функции быстрого преобразования Фурье

; на ассемблере цифрового сигнального процессора TMS семейства 6000

; для программы CALC_FFT.C

.sect ".cod"; секция кода

.ref _fft_p2; точка входа C

; Вызов функции быстрого преобразования Фурье */

; fft_p2(x_buffer, x_number, w_buffer);

; B, S1FFT_P2

; LDH.D2T2*+DP[0x400], B4

; MVK.S10x0000, A4

; MVK.S220x0400, B5

;ADD.SIXA4, DP, A4

;||ADD.D2DP, B5, B5

;||MVK.S20X2F6B, B3

;MV.SIXB5, A6

;||MVKH.S20x0000, B3

; Определение функции быстрого преобразования Фурье

; void fft_p2(short x[], short nx, short w[])

; {

; Cмещение параметров функци от вершины стека:

; указатель на массив значений x[] - 0x01

; указатель на массив коэффициентов w[] - 0x03

; число отсчетов БПФ nx - 0x04

FFT_P2:

ADDK-40, SP

STWA6, *+SP[0x3]

STHB4, *+SP[0x4]

STWA4, *+SP[0x1]

NOP2

; short n1, n2, ie, ia, i, j, k, m;

; short xt, yt, c, s;

; Cмещение локальных переменных от вершины стека:

; n1 - 0x08

; n2 - 0x09

; ie - 0x0A

; ia - 0x0B

; i - 0x0C

; i - 0x0D

; k - 0x0E

; m - 0x0F

; xt - 0x10

; yt - 0x11

; c - 0x12

; s - 0x13

; n2 = nx;

LDH*+SP[0x4],B4

NOP4

STHB4, *+SP[0x9]

NOP2

; ie = 1;

MVK0x0001,B4

STHB4, *+SP[0xA]

NOP2

; for (k = nx; k > 1; k = (k >> 1)) {

LDH*+SP[0x4], B4

NOP4

STHB4, *+ SP[0xE]

LOOP_K:LDH*+SP[0xE], B4

NOP4

BL_RET

NOP5

; n1 = n2;

LDH*+SP[0x9], B4

NOP4

STHB4,*+SP[0x8]

NOP2

; n2 = n2 >> 1;

LDH*+SP[0x9], B4

NOP4

SHRB4, 0x1, B4

STHB4,*+SP[0x9]

NOP2

; ia = 0;

ZEROB4

STHB4,*+SP[0x8]

NOP2

; for (j = 0; j < n2; j++) {

STHB4,*+SP[0xD]

LOOP_J:LDH*+SP[0xD], B5

LDH*+SP[0x9], B4

NOP4

CMPLTB5, B4, B0

BL_CHECK_K

NOP5

; c = w[2 * ia];

LDH*+SP[0xB], B4

LDW*+SP[0x3], B5

NOP4

ADDAWB5, B4, B4

LDH*+SP[0x0], B4

NOP4

STHB4,*+SP[0x12]

NOP2

; s = w[2 * ia + 1];

LDH*+SP[0xB], B4

NOP4

ADDB4, B4, B4

ADDB4, 0x1, B4

LDH*+B5[B4], B4

NOP4

STHB4,*+SP[0x13]

NOP2

; ia = ia + ie;

LDH*+SP[0xA], B5

LDH*+B5[0xB], B4

NOP4

ADDB5, B4, B4

STHB4,*+SP[0x1B]

NOP2

; for (i = j; i < nx; i += n1) {

LDH*+SP[0xD], B5

LDH*+B5[0x4], B4

NOP3

STHB5, *+SP[0xC]

LOOP_I:LDH*+B5[0xC], B5

NOP4

CMPLTB5, B4, B0

BL_CHECK_J

NOP5

; m = i + n2;

LDH*+SP[0xC], B5

LDH*+SP[0x9], B4

NOP4

ADDB4, B5, B4

STHB4, *+SP[0xF]

NOP2

; xt = x[2 * m] - x[2 * i];

LDW*+SP[0x1], A4

LDH*+SP[0xC], A5

LDH*+SP[0xF], A0

NOP3

ADDAWA4, A5, A4

||MVA4, A3

ADDAWA3, A0, A0

LDH*+A4[0x0], A3

LDH*+A0[0x0], B4

NOP4

SUBB4, A3, B4

STHB4, *+SP[0x10]

NOP2

; x[2 * i] = x[2 * i] + x[2 * m];

LDW*+SP[0x1], A5

LDH*+SP[0xF], A0

LDH*+SP[0xC], A3

NOP2

MVA5, A4

ADDAWA4, A0, A0

LDH*+A0[0x0], A0

LDH*+SP[0xC], A3

||ADDAWA5, A3, A5

LDH*+A5[0x0], A5

NOP4

ADDA0, A5, A0

||ADDAWA4, A3, A3

STHA0, *+A3[0x0]

NOP2

; yt = x[2 * m + 1] - x[2 * i + 1];

LDH*+SP[0xC], B5

LDH*+SP[0xF], B4

LDW*+SP[0x1], A3

NOP2

ADDB5, B5, B5

ADDB4, B4, B4

||ADD1, B5, A0

ADD1, B4, A3

||MVA3, A4

||LDH*+A3[A0], A0

LDH*+A4[A3], A3

NOP4

SUBA3, A0, A0

STHA0, *+SP[0x11]

NOP2

; x[2 * i + 1] = x[2 * i + 1] + x[2 * m + 1];

LDH*+SP[0xC], B4

LDH*+SP[0xF], B6

MVA4, A3

MVA4, B5

NOP2

ADDB4, B4, B4

||ADDB6, B6, B6

ADD1, B4, B4

||LDH*+SP [0xC], B6

||ADD1, B6, A0

LDH*+B5[B4], B4

||LDH*+A3[A0], A0

NOP3

ADDB6, B6, B6

ADDB6, 0x1, B4

||ADDA0, B4, A0

STHA0, *+B5[B4]

NOP2

; x[2 * m] = (c * xt + s * yt) >> 15;

LDH*+SP[0x12], B5

LDH*+SP[0x13], B6

LDH*+SP[0x10], B4

LDH*+SP[0x11], B7

LDH*+SP[0xF], A0

LDW*+SP[0x1], A3

NOP

MPYB4, B5, B4

MPYB7, B6, B5

NOP

ADDB5, B4, B4

SHRB4, 0xF, B4

||ADDAWA3, A0, A0

STHB4, *+A0[0x0]

NOP2

; x[2 * m + 1] = (c * xt - s * yt) >> 15;

LDH*+SP[0x13], B4

LDH*+SP[0x10], B6

LDH*+SP[0x12], B8

LDH*+SP[0x11], B5

LDH*+SP[0xF], B7

NOP2

LDW*+SP[0x1], B8

||MPYB6, B8, B6

MPYB5, B4, B4

ADDB7, B7, B5

SUBB6, B4, B4

ADDB5, 0x1, B5

||SHRB4, 0xF, B4

STHB4, *+B8[B5]

NOP2

; }

LDH*+SP[0xC], B4

LDH*+SP[0x8], B5

NOP4

ADDB5, B4, B4

STHB4, *+B8[0xC]

LDH*+SP[0x4], B5

LDH*+SP[0xC], B4

NOP4

CMPLTB4, B5, B0

BLOOP_I

NOP5

; }

L_CHECK_J:LDH*+SP[0xD], B4

LDH*+SP[0x9], B5

NOP3

ADD1, B4, B4

STHB4, *+B8[0xD]

LDH*+SP[0xD], B4

NOP4

CMPLTB4, B5, B0

BLOOP_J

NOP5

; ie = ie << 1;

LDH*+SP[0xA], B4

NOP4

ADDB4, B4, B4

STHB4, *+SP[0xA]

NOP2

; }

L_CHECK_K:

LDH*+SP[0xE], B4

NOP4

SHRB4, 0x1, B4

STHB4, *+SP[0xE]

CMPLT1, B4, B0

BLOOP_K

NOP5

; return;

; }

L_RET:

B.S2B3

ADDK40, SP

NOP4

8. Экспериментальная часть

В экспериментальной части осуществим выполнение вычислительной подпрограммы описанной в предыдущем пункте.

Выполнение подпрограммы произведем в интегрированной среде разработки Code Composer Studio (CCS).

Цикл разработки с использованием CCS

CCS является полностью законченной интегрированной отладочной средой разработчика, которая объединяет в себе все необходимые средства для проведения полного цикла разработки от конфигурирования системы, написания и компилирования программы до отладки и анализа проведения алгоритма.

Интегрированная среда разработки

CCS, как уже отмечалось выше, представляет собой интегрированную среду, совмещая в едином продукте все необходимое для разработки и позволяя работать в едином удобном оконном интерфейсе, а не переключатся между несколькими программными продуктами. В пределах рабочего стола CCS размещаются все необходимые окна, системы меню и конфигурируемые панели инструментов, которые позволяют осуществлять легкий и удобный доступ к часто используемым действиям, избавляя пользователя от необходимости выбирать их из достаточно разветвленной системы меню.

Конфигурация рабочего места стола CCS практически произвольно задается пользователем и может быть сохранена в специальном файле настроек.

Интегрированный редактор

Для редактирования файлов в CCS используется встроенный многооконный редактор с дружественным оконным интерфейсом, аналогичным используемому в MS Visual C++, но имеющий специфические необходимые для DSP расширения. Встроенный редактор имеет систему подсветки синтаксиса для всех типов, используемых в CCS файлов. Написание, редактирование и отладка кода производится в едином интерфейсе. Удобству работы способствуют такие функциональные возможности как контекстно зависимые меню, вызываемые правой кнопкой мыши, и плавающие конфигурируемые панели инструментов. Интересной особенностью редактора является включение в контекстно-зависимую систему помощи и систему проверки синтаксиса описания системы команд отлаживаемого DSP, что во многих случаях избавляет от необходимости держать на столе пару лишних томов документации и периодически в них заглядывать.

Средства генерации кода

В состав CCS входит специально разработанные TI (Texas Instrument) для ЦОС-применений средства генерации кода. Причем для каждого семейства ЦСП имеется свой набор таких средств, ориентированный на получение наиболее оптимального кода. Эффективность выходного кода достигается максимальным использованием ЦСП при построении кода с учетом спецификации его архитектуры.

Средства визуализации данных

Встроенные средства визуализации данных позволяют просматривать данные и сигналы в их оригинальных форматах во множестве окон просмотра. Окна просмотра присоединяются к точкам подключения, и их содержимое изменяется в реальном масштабе времени во время выполнения программы. В состав CCS включены стандартные окна просмотра как классических обработчиков, таких как БПФ, так и для популярных коммуникационных форматов, таких как глаз-диаграмма, созвездие, а также средства отображения изображений.

В интегрированной среде разработки Code Composer Studio произведем выполнения вычислительной подпрограммы быстрого преобразования Фурье для процессора TMS320C6701 написанной на языке Си, с последующей генерацией кода на языке Ассемблера.

Ниже на рисунках 8.1(а), 8.1(б), 8.1(в) представлено выполнение подпрограммы в режиме симулятора ССS.

  • рисунок 8.1а
  • рисунок 8.1 б
  • рисунок 8.1 в
  • На рисунке 8.1 (а) в нижнем окне отмечено, что компиляция Си программы в код Ассемблера удачно завершен количество ошибок 0.
  • Рисунок 8.2(б) отображает выполнение программы БПФ, в нижнем окне указывается количество тактов. На следующем рисунке 8.2(б) отображено завершение программы и конечное количество тактов которое затратил процессор на ее выполнение.

9. Экономическая часть

9.1 Разработка ленточного графика

Для определения трудоемкости выполнения научно - исследовательских и работ и опытно - конструкторских работ, прежде всего, составляют перечень всех основных этапов и видов работ, которые должны быть выполнены в ходе осуществления данной научно - исследовательской работы. При этом способе внимание уделяется логическому упорядочению последовательности выполнения отдельных видов работ. В основе такого упорядочения лежит анализ смыслового содержания каждого вида работ и установление взаимосвязи между видами работ. Перечень этапов работ представлен в таблице 9.1

Одной из основных целей планирования научно - исследовательской работы является определение общей продолжительности её проведения. В качестве метода планирования вбираем ленточный график, так как НИР не продолжительна, число исполнителей небольшое и этапы работ следует последовательно. Ленточный график представлен на рисунке 9.1

При проведении дипломного проектирования по теме “Устройство обработки информации на базе сигнального процессора TMS320C6701” выделяются следующие этапы.

1.Подготовительный этап. На этом этапе производится анализ существующей литературы по темам, касающихся проводимых исследований, разрабатывается технико-экономическое обоснование темы, выполняются необходимые расчеты, разрабатываются методика исследований и методика проведения экспериментов.

2.Разработка теоретической части НИР. На этом этапе выполняется научная проработка с целью обоснования параметров и характеристик разрабатываемой системы, определяется концепция построения системы, выбираются и обосновываются принципы организации и функционирования системы.

3.Разработка программных модулей. Разрабатывается необходимое программное обеспечение(ПО) для проведения экспериментов.

4.Тестирование и отладка программы. Осуществляется тестирование ПО с целью поиска и удаления ошибок в программе.

5.Подготовка экспериментов. Выполняется подготовка исходных данных для проведения экспериментов.

6.Эксперимент. Проводится серия экспериментов с использованием разработанного ПО с целью проверки достижимости параметров и характеристик системы, определенных на этапе 2.

7.Корректировка теоретической части НИР. Уточняются вопросы организации и функционирования системы, вносятся изменения, обусловленные проводимыми экспериментами.

8.Выводы и предложения по НИР.

9.Оформление отчета по НИР.

10.Сдача темы заказчику.

Таблица 9.1

Этапы

Исполнитель

Продолжительность

1

Подготовительный

инженер

10

2

Разработка теоретической части

руководитель инженер

6

3

Разработка программных модулей

инженер

28

4

Тестирование и отладка программы

инженер

15

5

Подготовка экспериментов

инженер

1

Этапы

Исполнитель

Продолжительность

6

Эксперимент

Инженер

2

7

Корректировка теоретической части НИР

руководитель инженер

3

8

Выводы и предложения по НИР

руководитель инженер

3

9

Оформление отчета

Инженер

10

10

Сдача темы заказчику

Инженер

2

рисунок 9.1 Ленточный график

9.2 Составление сметы затрат на разработку и расчет цены НИР

Целью планирования себестоимости проведения НИР является экономически обоснованное определение величины затрат на ее выполнение. В плановую себестоимость НИР включаются все затраты, связанные с ее выполнением, независимо от источника их финансирования. Определение затрат на НИР производится путем составления калькуляции плановой себестоимости. Она является основным документом, на основе которого осуществляется планирование и учет затрат на выполнение НИР.

Для определения сметы затрат на проведение НИР рассматриваются следующие статьи затрат.

1. Затраты на материалы.

Сумма расходов определяется по формуле

,

где количество единиц i -го материала,

стоимость единицы i -го материала,

число материалов.

Таблица 9.2 Расчет затрат на приобретение материалов

Вид материалов

количество

цена за единицу, руб.

Итого расходов, руб.

Дискеты

5

10

50

Бумага

1 пачка

110

110

Картридж для принтера

1

600

600

Итого:

760

2. Основная заработная плата.

В разработке принимали участие два исполнителя: руководитель темы (оклад 3000 руб. на 1.04.02) и инженер (оклад1500 руб. на 1.04.02.). Руководителем затрачено на разработку 13 дней, инженером - 80 дней при месячном фонде времени одного разработчика 22 дня.

,

где Оi - оклад i - го исполнителя разработок (руб.),

Тi - время, затраченное i - м исполнителем (дни),

Fi - месячный фонд времени i - го разработчика (дни),

N - число разработчиков.

Зосн = 3000/22*13 + 1500/22*80 = 7227.27

3. Дополнительная заработная плата рассчитывается как 10% от основной заработной платы.

Здоп = 0.1* Зосн

Здоп = 0.1*7227.27 = 722.727

4. Отчисления на социальные нужды составляют 35.6% от основной заработной платы.

Зсоц = 0.356* Зосн

Зсоц = 0.356* 7227.27 = 2572.9

5. Амортизация оборудования

,

где Собор - первоначальная стоимость оборудования,

Тдн - количество дней использования оборудования для проведения 0НИР,

Тр.дн - количество рабочих дней в году,

На - норма амортизации (20%).

Таблица 9.3 Расчет амортизации оборудования

Наименование оборудования

Стоимость, руб.

Время использования оборудования, дни

Итого, руб.

ПК на базе процессора Pentium III

18000

50

692,30

Принтер Canon BJC - 210

2560

25

49,2

Лицензионное программное обеспечение

8700

50

334,61

Итого:

1076,11

6. Накладные расходы составляют 56,9% от четырех статей калькуляции.

Зн = 0.569 (Зм + Зосн + Зсоц + За + Здоп)

Зн = 0.569 (760 + 7227.27 + 2572.9 + 1076.11 + 722.727) = 7032.27

7. Сметная стоимость составляет сумму шести статей калькуляции

Зсм = Зм + Зосн + Зсоц + За + Зн + Здоп

Зсм = 760 + 7227.27 + 2572.9 + 1076.11 + 7032.27 + 722.727 = 19391.27

8. Прибыль составляет 25% от сметной стоимости

Пр = 0.25* Зсм

Пр = 0.25* 19391.27 = 4847.82

9. Произведем расчет рыночной цены на разработанную продукцию

Цнир = Зсм + Пр

Цнир = 19391.27 + 4847.82 = 24239.08

9.3 Выводы по эффективности проекта

В данном диплом проекте разработано устройство обработки информации, которое входит в состав МВК и является устройством, которое определяет его производительность.

Устройство обработки информации состоит из двух модулей, которые включают в себя по два высокопроизводительных процессора TMS320C6701.

Разбиение устройства на несколько модулей позволяет наращивать производительность МВК в несколько раз путем подключения дополнительных модулей.

Рассматривая аналоги устройств обработки информации, построенные на процессорах других фирм, таких как Analog Device(21060LCW-160), Motorola(96002). Можно убедится в том, что эти устройства будут в несколько раз дороже, иметь большие габариты при заданной производительности.

Исходя из вышесказанного можно сделать вывод о том, что разработанное устройство в настоящее время является одним из самых производительных устройств для своего класса, имеет малые габариты, низкое энергопотребление.

Применение данного устройства позволит увеличить вычислительную мощность МВК во много раз, что говорит об его эффективности использования.

10. Безопасность и экологичность проекта

Свойства элементов окружающей человека среды и их состояния могут быть самыми разнообразными по отношению к человеку: благоприятными, не создающими угрозы здоровью человека, и неблагоприятными, когда такая угроза возникает. Неблагоприятные условия отождествляются с опасностью. Потенциальная опасность является одним из качеств любой деятельности. Под опасностью подразумеваются такие явления, процессы, объекты, воздействия, которые способны в определенных условиях наносить ущерб здоровью человека непосредственно или косвенно.

Для того чтобы условия труда не причиняли вреда здоровью человека необходимо выявлять и предупреждать, ограничивать или устранять опасные и вредные факторы производства, нормализовывать санитарно-гигиенические параметры производственной среды, защищать окружающую природную среду.

В данном дипломном проекте разрабатывается устройство обработки информации на базе цифрового сигнального процессора, устройство разрабатывается с применением ЭВМ в вычислительных центрах (ВЦ).

Труд персонала, принимающего участие в разработке, все более исключает физические усилия и переходит в разряд умственного труда. При этом соответственно снижаются физические и возрастают психофизиологические нагрузки, поэтому внимание в разделе сосредотачивается на освещении борьбы с некоторыми отрицательными проявлениями научно-технического прогресса, например, с нервно-психическими перегрузками людей, работавших на ЭВМ. Любая деятельность в современных условиях сопровождается повышением психофизиологической напряженности, увеличением информационной и нервно-эмоциональной нагрузок на человека, появлением новых физических видов воздействия на работающих. Ограничение подвижности людей, неравномерность мышечной и психологической нагрузок способствует развитию утомления и созданию предпосылок для травматизма, заболеваний и ошибок.

Цель раздела - помочь сделать труд человека не только безопасным, но и комфортным. Практическая реализация требований по охране труда способствует улучшению условий труда, снижению утомляемости, повышению работоспособности и сохранению здоровья работников вычислительного центра.

10.1 Опасные и вредные факторы в условиях ВЦ

Имеющийся в настоящее время комплекс разработанных организационных мероприятий и технических средств защиты, накопленный опыт работы ряда вычислительных центров показывают, что имеется возможность добиться значительных успехов в деле устранения воздействия опасных и вредных производственных факторов на работающих. Однако состояние условий труда и его безопасности в ряде ВЦ еще не удовлетворяют современным требованиям. Операторы ЭВМ, операторы по подготовке данных, программисты и другие работники ВЦ сталкиваются с воздействием таких опасных и вредных производственных факторов, как повышенный уровень шума, повышенная температура внешней среды, отсутствие или недостаток естественного света, недостаточная освещенность рабочей зоны, электрический ток, статическое электричество и другие.

Все вышеперечисленные факторы с учетом источников их происхождения можно свести в матрицу опасных и вредных производственных факторов (Таблица 10.1).

Таблица 10.1 Матрица опасных и вредных производственных факторов.

10.2 Анализ условий труда оператора вычислительной техники с оценкой тяжести и напряженности труда

Работа оператора ЭВМ связана с воздействием следующих психофизиологических факторов:

умственное перенапряжение;

эмоциональные перегрузки - гиподинамия;

перенапряжение зрительных и слуховых органов;

монотонность труда;

взаимоотношения в коллективе.

Воздействие указанных неблагоприятных факторов приводит к снижению работоспособности, вызываемому развивающимся утомлением. Появление и развитие утомления связано с изменениями, возникающими в процессе работы в центральной нервной системе, с тормозными процессами в коре головного мозга. Длительное нахождение оператора в зоне комбинированного воздействия различных неблагоприятных факторов может привести к профессиональному заболеванию.

10.2.1 Повышенный уровень шума

Повышенный шум вызывает трудности в распознавании цветовых сигналов, снижает быстроту восприятия цвета, остроту зрения, снижает способность быстро и точно выполнять координированные движения, уменьшает на 5-12% производительность труда. Длительное воздействие шума с уровнем звукового давления 90 дБ снижает производительность труда до 60%.

Причинами возникновения шума в ВЦ являются:

механический шум, обусловленный колебаниями аппаратуры (движение и удары головки принтера, механизмы подачи бумаги и красящей ленты);

шум, возникающий при образовании потоков воздуха вентилятором и кондиционером;

колебания, возникающие под действием электромагнитного поля в устройствах преобразования и стабилизации напряжения, а также люминесцентные лампы.

Требования к уровню шума определяются стандартными нормами. В помещениях программистов и операторов ЭВМ средний уровень шума не должен превышать 50 дБА, в помещениях для инженерно-технических работников 60 дБА. Мерами защиты от шума являются: акустические защитные перегородки, звукопоглощающее покрытие. На предприятии применяются звукопоглощающие покрытия, которые и будем использовать в данном ВЦ [ГОСТ 12.1.003-83]

10.2.2 Микроклимат в ВЦ

Как правило, все ВЦ имеют повышенную температуру помещений. Это связано с выделением тепла непосредственно от ЭВМ (до 80%). Незначительное количество составляет тепло, выделяемое вспомогательным оборудованием, приборами освещения и поступающее извне. В производственных помещениях, где выполняются работы с вычислительной техникой, величины температуры, относительной влажности и скорости движения воздуха в рабочей зоне должны соответствовать оптимальным значениям: в холодный период года - 22-24 оС, в теплый период - 23-25 оС; относительная влажность 40-60%; скорость движения воздуха - не более 0.1м/с. Повышенная температура воздуха на рабочем месте оператора снижает производительность труда, вызывает преждевременное утомление, притупляет внимание, ухудшает качественные показатели и может оказаться причиной заболевания. Для устранения вредного воздействия этого фактора необходимо проводить измерения параметров микроклимата не реже трех раз в смену. Помещения должны иметь, и имеют централизованную приточно-вытяжную вентиляцию и оконные кондиционеры [ГОСТ 12.1.005 - 88].

10.2.3 Освещенность ВЦ

Важную роль в работе операторов ЭВМ играет освещение. Оно должно соответствовать нормам СНиП 23-05-95. Недостаточное освещение вызывает преждевременное утомление, притупляет внимание, приводит к ошибкам в работе, ухудшает нормальную производственную деятельность пользователя, снижает остроту зрения и может оказаться причиной несчастного случая. Каждое помещение с дисплеями должно иметь естественное и искусственное освещение. Естественное освещение должно осуществляться через боковые светопроемы (окна), ориентированные преимущественно на северную сторону. Помимо естественного в помещения обязательно присутствует искусственное освещение. Рекомендуется система с использованием люминесцентных ламп типа ЛБ и светильников отраженного или рассеянного светораспределения, расположенных в равномерном прямоугольном порядке. Одним из мероприятий по устранению зрительного дискомфорта из-за недостаточного освещения является измерение освещенности. Нормируемое значение освещенности - 200лк.

10.2.4 Опасность поражения электрическим током

Электрические установки, к которым относится практически все оборудование ВЦ, представляют для человека большую потенциальную опасность. Токоведущие проводники, корпуса ЭВМ и прочего оборудования могут оказаться под напряжением в результате повреждения или пробоя изоляции, короткого замыкания, искрения, перегрузки проводников, плохих контактов. Проходя через тело человека, электрический ток оказывает термическое, электролитическое, механическое и биологическое воздействие на человека, вызывая ожоги, электротравмы, пожары.

10.2.5 Меры обеспечения безопасности поражения электрическим током

Для уменьшения риска поражения электрическим током используется защитное заземление согласно [ПУЭ]. Помещения ВЦ оборудуют контуром-шиной защитного заземления, электрически соединенной с заземлителем. Контур-шина укладывается в виде сетки под всей площадью, занимаемой ЭВМ. Все подлежащие заземлению элементы ЭВМ присоединяют к контуру-шине отдельными заземляющими проводниками, не допуская их последовательного включения. Подводка питания к ЭВМ осуществляется под съемными полами. Сети аварийного освещения и сигнализации проложены отдельно от других силовых и контрольных кабелей.[ПУЭ]

10.2.6 Статическое напряжение

К общим мерам защиты от статического электричества в ВЦ можно отнести общее и местное увлажнение воздуха. При относительной влажности воздуха 85% и более зарядов статического электричества практически не возникает. Но наличие сырости (относительная влажность >75%) в помещении является признаком повышенной опасности поражения человека электрическим током. Нормой относительной влажности воздуха, обеспечивающей защиту от статической электризации, считается величина не превышающая 60% [ГОСТ 12.1.083 - 88].

10.2.7 Электромагнитное излучение и уровень радиации в ВЦ

Видеотерминалы являются источником наиболее опасного фактора вредного воздействия - широкополосного спектра электромагнитных излучений: рентгеновского, ультрафиолетового (УФ), инфракрасного излучения, электромагнитных излучений промышленной частоты. В реальных условиях уровни УФ-излучения, исходящего от терминала (320 - 400 мкм), в десятки раз ниже допустимого уровня 10 Вт/см. То же можно сказать и о мягком рентгеновском излучении, которое в несколько раз ниже нормы 100 мкР/ч. Большинство мониторов создает повышенный уровень электростатического поля: отечественные мониторы создают напряженность электростатического поля, в 10 раз превышающую норму в 20кВ/м. Слабые электромагнитные поля вызывают аллергию, тошноту, усталость, головные боли. ЭМП промышленной частоты повышают риск роста опухолей. Электромагнитные поля дисплеев могут инициировать изменения в клетках вплоть до нарушения синтеза ДНК. Для снижения уровня электромагнитного излучения необходимо располагать мониторы так, чтобы расстояние до них составляло величину, равную длине вытянутой руки. Пользователи должны находиться не ближе, чем на 1.2м от задних и боковых поверхностей соседних терминалов, т.к. источник высокого напряжения компьютера - строчный трансформатор помещается в задней или боковой части терминала, причем стенка корпуса не экранирует излучения. Для уменьшения интенсивности излучения рекомендуется устанавливать на экран монитора специальные экранирующие фильтры и экраны. Но так как некоторые фильтры предназначены только для снижения яркости и не создают никакой защиты от излучений, можно ставить либо экран типа "Полароид" СП-90 (USA), либо австрийские стеклянные экраны. Из отечественных фильтров рекомендуется использование фильтра "Золотой щит" и "Платиновый щит".

Для контроля излучения дисплеев необходимо проводить измерения по электрической и электромагнитной составляющим электромагнитных полей. Измерения производятся на расстоянии 30 см от центра экрана и со всех сторон дисплея на расстоянии 5 см от излучающих поверхностей. Заключение о соответствии рабочего места требованиям безопасности по электромагнитным полям принимается, если около дисплея и на расстоянии 30 см от излучающей поверхности напряженность по электрической составляющей ?50В/м; по магнитной составляющей ?5А/м при времени пребывания в зоне ЭМП в течение 8 часов. Необходимо также проводить измерения рентгеновского, а для цветных мониторов и ультрафиолетового излучения. Нормы [СН и П 2.-01.02-83] этих излучений были указаны выше. Для определения допустимого времени пребывания персонала в электростатическом поле (ЭСП) дисплея измеряют напряженность ЭСП. Измерения проводят на расстоянии 5 и 30 см от экрана по оси, перпендикулярной к центру экрана. Заключение о соответствии рабочего места требованиям безопасности по ЭСП принимается, если на расстоянии 5 и 30 см от поверхности экрана напряженность ЭСП не превышает допустимого уровня, указанного в таблице (Таблица 10.2).

Таблица 10.2 Допустимые уровни ЭСП на рабочем месте.

Время пребывания Т, ч

Уровни напряженности, кВ/м

До 1

1 - 8

Не регламентируется

60

50

?20

10.2.8 Обеспечение пожарной безопасности и организационно-технические рекомендации по предотвращению пожара вычислительном центре

В вычислительных центрах существует также опасность возникновения пожаров. По пожарной опасности помещения вычислительных центров относятся к категории В. Пожарную нагрузку ВЦ составляют горючие материалы, используемые для изготовления ЭВМ и периферийных устройств, изоляционные материалы проводов и кабелей, шкафы, стеллажи, материалы, используемые для эстетической отделки, горючие строительные конструкции, а также различная мебель. Электрические контакты в ЭВМ и периферийном оборудовании являются их неотъемлемой частью, от правильной работы которых зависит не только нормальное функционирование сетей, устройств и аппаратов, но и состояние пожарной безопасности. Нагрев электрических контактов, который может быть причиной пожара, обусловливается существованием переходного сопротивления между контактирующими элементами.

При предъявлении требований к эксплуатации помещений следует руководствоваться "Инструкцией по проектированию зданий и помещений для ЭВМ" СНиП-512-78, утвержденной Госстроем 22.12.78 и ГОСТ 12.1.004-91. Все стены помещения должны быть несгораемые с пределом огнестойкости 0.75 часа, дверь трудно сгораемая с пределом огнестойкости 0.6 часа. Подводка питания к ЭВМ осуществляется под съемными полами. Сети аварийного освещения и сигнализации проложены отдельно от других силовых и контрольных кабелей. Плиты съемного пола трудно сгораемые, с пределом огнестойкости более 0.5 часа, опоры пола несгораемые. Система вентиляции ВЦ имеет устройства, обеспечивающие автоматическое отключение ее при пожаре. В связи с тем, что каждая ЭВМ имеет собственную систему охлаждения, нет необходимости в системе воздуховодов. ЭВМ имеют блокировку, обеспечивающую ее отключение при остановке систем охлаждения. Работы по ремонту узлов (блоков) ЭВМ непосредственно в машинном зале, как правило, не допускаются. В случае необходимости проведения ремонта или технического обслуживания в помещении допускается иметь не более 0.5 литра легковоспламеняющейся жидкости в небьющейся, плотно закрывающейся таре. Промывка съемных устройств допускается только в специальных помещениях, оборудованных приточно-вытяжной вентиляцией.

Профилактическая промывка ЭВМ и контрольно-измерительных приборов в каждом случае должна проводиться с письменного разрешения начальника ВЦ и после согласования с пожарной охраной. Один раз в квартал должна проводиться очистка от пыли всех агрегатов машин и их узлов, кабельных каналов, межпольного пространства.

В помещении ВЦ запрещается применять пленку на нитрооснове, групповые розетки на сгораемой основе, панели, ковры и дорожки из синтетических материалов, горючий материал для акустической отделки стен и потолков, загромождать пути эвакуации, ставить на окна глухие металлические решетки, после окончания работы, перед закрытием помещения все электрические сети должны быть обесточены.

При возникновении пожара в помещении ВЦ применяются ручные огнетушители. С их помощью можно быстро ликвидировать очаг возгорания или локализовать огонь до прибытия пожарной команды. Применяются ручные углекислотные огнетушители типа ОУ-2, ОУ-5, ОУ-8, углекислотно-бромэтиловые огнетушители типа ОУБ-7, порошковые типа ОПС-10, ОХП-10 и ручные воздушно-пенные огнетушители типа ОВП-5, ОВП-10.

10.2.9 Перегрузки эмоциональные и умственные

В настоящее время быстро меняется соотношение между физическим и умственным трудом. При умственной работе изменяются обменные процессы, но повышение общего обмена незначительно, оно не превышает 10-15 %. В отличие от физической работы при умственной работе происходит сужение сосудов конечностей и расширение сосудов внутренних органов, пульс изменяется незначительно.

10.2.10 Перенапряжение зрительных и слуховых органов


Подобные документы

  • Разработка структурной и принципиальной схемы. Блок-схема основной программы и подпрограмм обработки прерываний. Имена переменных, используемых в них. Результаты моделирования работы устройства в программе ISIS пакета Рroteus. Разработка печатной платы.

    курсовая работа [1,5 M], добавлен 13.11.2016

  • Рассмотрение структурной схемы микропроцессорной системы обработки данных. Описание архитектуры микроконтроллера ATmega161. Расчет оперативного запоминающего устройства. Строение, назначение адаптера параллельного интерфейса, способы его программирования.

    курсовая работа [621,5 K], добавлен 24.09.2010

  • Системы сбора и передачи информации. Обоснование выбора кода, способа передачи и синхронизации. Выбор длины посылки, формата кодового перехода. Расчет помехоустойчивости и времени запаздывания. Разработка структурной схемы передающего устройства.

    курсовая работа [412,8 K], добавлен 24.06.2013

  • Анализ способов кодирования информации. Разработка устройства кодирования (кодера) информации методом Хемминга. Реализация кодера–декодера на базе ИМС К555ВЖ1. Разработка стенда контроля передаваемой информации, принципиальная схема устройства.

    дипломная работа [602,9 K], добавлен 30.08.2010

  • Структурная схема компьютера. Основные характеристики процессора - устройства, предназначенного для обработки информации и управления процессом обработки. Способы хранения информации. Описание, назначение и принципы работы устройств ввода и вывода данных.

    презентация [862,1 K], добавлен 20.07.2011

  • Разработка устройства последовательного сбора и обработки информации с последующим выводом. Выбор элементной базы. Расчет характеристик элементов функциональной схемы. Определение разрядности АЦП и количества бит, передаваемых в информационном кадре.

    курсовая работа [160,9 K], добавлен 05.05.2013

  • Разработка структурной схемы устройства управления учебным роботом. Выбор двигателя, микроконтроллера, микросхемы, интерфейса связи и стабилизатора. Расчет схемы электрической принципиальной. Разработка сборочного чертежа устройства и алгоритма программы.

    курсовая работа [577,8 K], добавлен 24.06.2013

  • Изучение основных структурных элементов компьютера - электронного устройства, которое выполняет операции ввода информации, хранения и ее обработки по определенной программе. Функции центрального процессора, запоминающего устройства, носителей информации.

    реферат [15,9 K], добавлен 18.01.2012

  • Анализ способов сопряжения персонального компьютера с разрабатываемым устройством. Разработка интерфейса ПК. Объединение модулей микропроцессорного устройства в единую систему. Выбор аналоговых коммутаторов. Разработка структурной схемы устройства.

    курсовая работа [426,7 K], добавлен 03.05.2014

  • Выбор принципов проектирования устройства записи, хранения и передачи чисел. Разработка алгоритма выполнения операций, необходимых для обработки информации. Структурная схема устройства. Элементарная база, необходимая для разработки принципиальной схемы.

    курсовая работа [1,3 M], добавлен 16.08.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.