Регулирование мощности шума по принципам адаптивной фильтрации, отвечающей высоким требованиям к точности настройки

Разработка системы регулирования мощности шума, построенной на принципах адаптивной фильтрации. Анализ программно-аппаратного модуля работы системы шумовой автоматической регулировки усиления, проверка надежности системы. Расчет общей, местной вентиляции.

Рубрика Безопасность жизнедеятельности и охрана труда
Вид дипломная работа
Язык русский
Дата добавления 07.07.2012
Размер файла 3,5 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

8. Организационно-экономическая часть

8.1 Введение

В нынешней экономической ситуации у предприятий появляются большие возможности для выбора типа деятельности, дальнейшего совершенствования, развития выхода на мировой рынок и т.п. Но для того, чтобы в условиях свободного рынка продукция предприятия пользовалась повышенным спросом, она должна содержать в себе множество различных свойств и удовлетворять большому числу требований как внутри страны (стандарт ГОСТ Р ИСО 9001-96), так и международным стандартом (ISO - 9000). На сегодняшний день только такие предприятия являются благополучными.

При создании нового прибора или устройства исследователи и конструкторы всегда должны учитывать не только техническую, но и экономическую сторону проводимой разработки. Экономический анализ дает возможность выбрать наиболее эффективный вариант новой техники, способствует внесению в создаваемые конструкции таких улучшений, которые позволили бы получить нужные результаты при наименьших материальных, трудовых и денежных затратах. Оптимальным вариантом достижения таких решений является прогрессивная форма планирования - бизнес-план [7].

Бизнес-план - это краткое, точное и ясное описание целей нового или действующего бизнеса, а также средств и способов их достижения.

Бизнес-план позволяет сделать оценку текущего состояния экономики, сильных и слабых сторон производства, показать достоинства и выгоду предполагаемого проекта и привлечь инвестора, который вложит свои средства в тот проект, который с достаточной вероятностью гарантирует ему получение максимальной прибыли [8].

Данный бизнес-план посвящен разработке цифрового устройства шумовой автоматической регулировки усиления для аналогово-цифровых приёмников РЛС.

8.2 Предприятие и отрасль, в котором оно занято

Предприятие является основоположником отечественных радиолокационных станций обнаружения, наведения и целеуказания, специализируется на радиотехнике, телемеханике, специальной радиосвязи и автоматике.

8.3 Описание организации работ

Данная работа относится к классу опытно-конструкторских работ (ОКР) с элементами научно-исследовательской работы (НИР), поскольку помимо основной части работ - разработки конструкторской документации, рассматривается так же и разработка технического проекта .

8.4 Описание продукта

Радиолокация - область радиотехники, обеспечивающая радиолокационное наблюдение различных объектов, то есть их обнаружение, измерение координат и параметров движения, а также выявление некоторых структурных или физических свойств путем использования отраженных или переизлучённых объектами радиоволн либо их собственного радиоизлучения [9]. Источником радиолокационной информации является радиолокационный сигнал. Именно его распознавание на фоне шумов и даёт возможность установить некоторые характерные признаки цели. Именно цифровая система шумовой автоматической регулировки усиления, разработка которого и будет производиться, поможет нам в получении необходимой информации. Система ШАРУ предназначена для оценки в стробе ШАРУ мощности огибающей шума на выходе каждого из двух каналов аналого-цифрового приёмника на каждой из десяти рабочих частот и последующей компенсации мощности огибающей шума до эталонного значения. Таким образом, на выходе устройства получается одинаковое по мощности распределение шумов в каналах. Необходимость разработки вызвана тем, что аналог имел устаревшую элементную базу, а так же то, что увеличение вычислительных мощностей позволили доработать и оптимизировать алгоритм. В качестве современной элементной базы в данном устройстве используются ПЛИС. Основными преимуществами ПЛИС при применении в средствах обработки сигналов являются:

§ высокое быстродействие;

§ возможность реализации сложных параллельных алгоритмов;

§ наличие средств САПР, позволяющих провести полное моделирование системы;

§ возможность программирования или изменения конфигурации непосредственно в системе;

§ совместимость при переводе алгоритмов на уровне языков описания аппаратуры (VHDL, AHDL, Verilog и др.);

§ совместимость по уровням и возможность реализации стандартного интерфейса;

§ наличие библиотек мегафункций, описывающих сложные алгоритмы;

§ архитектурные особенности ПЛИС как нельзя лучше приспособлены для реализации таких операций, как умножение, свертка и т.п.

Использование современной элементной базы повышает надёжность, ремонтопригодность, уменьшая габариты устройства и затраты на его производство.

8.5 Оценка рынка и конкурентоспособности

Рынком сбыта разработанного устройства будут являться предприятия, занимающиеся выпуском радиолокационных станций и гражданской авиации. Следует отметить, что все комплектующие, используемые в системе, входят в разрешенный перечень элементов, вследствие чего система может применяться в любой РЛС военного назначения, а так же поставляться на экспорт.

Основными преимуществами разработанной системы являются высокие технические характеристики (высокая точность, легкая встраиваемость и масштабируемость), малые габаритные размеры, высокая надежность, а так же применение новой элементной базы и легкость изготовления.

8.6 Маркетинг

Дальнейшее увеличение конкурентоспособности возможно за счет проведения маркетинговых мероприятий, то есть проведение рекламной деятельности по сбыту, участие в специализированных выставках, издание рекламной литературы для специалистов, установление контактов с потребителями. Имеется возможность снижения цены, путем сокращения определенных статей расходов при переходе на серийное производство.

8.7 Организация производства

Предприятие относится к опытному или мелкосерийному производству, оборот выпускаемой продукции не превышает нескольких единиц в год, этот показатель не является высоким, но данная ситуация вызвана еще и тем, что предприятие в первую очередь считается проектной организацией, в чьи задачи входит разработка продукции, а не её промышленный выпуск.

8.8 Этапы разработки

1. Согласно ГОСТ 15.001-73 установлены следующие этапы выполнения ОКР:

1) разработка ТЗ на ОКР;

§ составление проекта ТЗ заказчиком. Проработка проекта ТЗ исполнителем.

§ согласование и утверждение ТЗ.

2) техническое предложение;

§ выявление дополнительных или уточненных требований к изделию, его техническим характеристикам и показателям качества, которые не могут быть указаны в ТЗ:

§ проработка результатов НИР;

§ проработка результатов прогнозирования;

§ изучение научно-технической информации;

§ предварительные расчеты и уточнение требований ТЗ.

3) эскизное проектирование;

§ разработка принципиальных технических решений:

§ выполнение работ по этапу технического предложения,

§ выбор элементной базы разработки;

§ выбор основных технических решений;

§ разработка структурных и функциональных схем изделия;

§ выбор основных конструктивных элементов;

§ разработка и испытание макетов.

4) техническое проектирование;

§ окончательный выбор технических решений по изделию в целом и его составным частям:

§ разработка принципиальных электрических, и других схем;

§ уточнение основных параметров изделия;

§ проведение конструктивной компоновки изделия и выдача данных для его размещения на объекте;

§ разработка проектов ТУ на поставку и изготовление изделия;

§ испытание макетов основных приборов изделия в натурных условиях.

5) разработка рабочей документации для изготовления и испытаний опытного образца;

§ формирование комплекта конструкторских документов:

§ разработка полного комплекта рабочей документации;

§ согласование ее с заказчиком и заводом-изготовителем серийной продукции;

§ проверка конструкторской документации на унификацию и стандартизацию;

§ изготовление в опытном производстве опытного образца;

§ настройка и комплексная регулировка опытного образца.

6) изготовление и испытание опытного образца;

§ Проверка соответствия опытного образца требованиям ТЗ:

§ стендовые испытания;

§ предварительные испытания на объекте;

§ испытания на надежность.

2. Формирование рабочей группы.

К организационной части проекта относится анализ подразделения, участвующего в проведении данной работы и его состава. Структура отдела- разработчика представляет собой совокупность подразделений, объединенных единым направлением научно-технических работ.

Рисунок 8.1. Состав рабочей группы.

Таблица 8.1 - Этапы и трудоёмкость проведения ОКР

работы

Наименование работы

Должность

Трудоемкость,

чел. дней

Численность

Длительность работы, дней

1

Разработка ТЗ

на ОКР

Нач.сектора

Ст.инженер

40

2

20

2

Техническое предложение

Ст.инженер

Инж.-разработчик IIй кат.

Инж.-конструктор IIй кат.

45

3

15

3

Эскизное проектирование

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

60

2

30

4

Техническое проектирование

Ст.инженер

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

60

3

20

5

Разработка рабочей документации

Нач.сектора

Ст.инженер

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

80

4

20

6

Изготовление и испытание опытного образца

Нач.сектора

Ст.инженер

Инж.-разработчик IIй кат.

Начальник ОП

Технолог

Монтажник ЭРЭ

Регулировщик

315

7

45

7

Итого

150

В соответствии с данными, приведенными в таблице 8.1, построен график Ганта, приведенный на рисунке 8.2.

Рисунок 8.2 График Ганта.

8.9 Финансовый план

Все работы финансируются из государственного бюджета, прямым сметным финансированием.

8.10 Расчет сметной стоимости ОКР

При разработке системы ШАРУ расходы осуществляются по следующим статьям [10]:

§ Покупные комплектующие изделия.

§ Транспортно-заготовительные расходы.

§ Основная заработная плата исполнителей ОКР.

§ Дополнительная заработная плата исполнителей ОКР.

§ Отчисления в фонды.

§ Накладные расходы.

§ Расходы на научные и производственные командировки

1) Покупные комплектующие изделия (ПКИ).

К этой статье относится стоимость покупных изделий, комплектующих изделий, расходуемых непосредственно в процессе ОКР :

Таблица 8.2.1

п/п

Наименование

Количество

штук

Стоимость ед. изделия (руб.)

Итого, (руб.)

1

Конденсатор К10-50в-Н90-0,1 мкФ ОЖ0.460.182ТУ

34

5

170

2

Конденсатор К10-50в-Н50-0,01мкФ-1-C ОЖ0.460.182ТУ

1

3

3

3

Конденсатор К53-18-16в-47мкФ ОЖ0.464.136ТУ

3

23

69

4

Конденсатор К10-17в-Н90-0,1мкФ ОЖ0.460.107ТУ

3

9

27

5

Конденсатор К10-17в-Н90-0.22мкФ ОЖ0.460.107ТУ

1

10

10

6

Резистор P1-12-0,125-75 Ом ±10% -У

2

6

12

7

Резистор P1-12-0,125-150 Ом ±2% -Т

4

6

24

8

Резистор P1-12-0,125-1 Ом ±10% -У

3

6

18

9

Резистор P1-12-0,125-51 Ом ±10% -У

1

6

6

10

Резистор P1-12-0,125-510 Ом ±10% -У

14

6

84

11

Резистор P1-12-0,125-24 Ом ±5% -У

2

6

12

12

Резистор P1-12-0,125-47 Ом ±5% -У

1

6

6

13

Резистор Р1-12-0,25-1 кОм

10

6

60

14

MAX963ESD Maxim

2

190

380

15

AD9042AST Analog Devices

1

2340

2340

16

Микросхема 530ЛН1ММ АЕЯР.431200.140-11ТУ

3

168

504

17

EPF10K100ARI240-3 Altera

2

12000

24000

18

EPC2LI20 Altera

2

1500

3000

19

Дросель Д2-0,15-33±5% ГИ0.477.002ТУ

3

2

6

20

Индикатор единичный 3Л341В аА0.339.189ТУ

2

30

60

21

Индикатор единичный 3Л341А аА0.339.189ТУ

1

30

30

22

Розетка РПН23-3Г-В ГЕ0.364.230 ТУ

2

1120

2240

23

Розетка СНП260-135РП31 БСАР.434410.003ТУ

1

1110

1110

24

Вилка СНП346-10ВП22-2-В РЮМК.430420.011ТУ

2

129

258

25

Диод 2Д522Б дР3.362.029 - 01ТУ/02

36

50

1800

26

142ЕН5А бКО.347.098 ТУ3

6

300

1800

27

142ЕН10 бКО.347.098 - 08 ТУ

4

500

2000

28

Дроссель ДМ - 2,4 - 4± 10% В ЦКСН.671342.001ТУ

15

33

495

29

Изделие акустоэлектронное ПАФ1413 УВАИ.468874.016

1

25000

25000

30

Микросхема 533ЛЛ1 бКО.347.141 ТУ7/02

3

185

555

31

Микросхема 530 ЛН2

44

50

2200

32

Микросхема 530 ЛА3

33

140

4620

33

Микросхема 251СА301

22

120

2640

Итого:

73739

Транспортные расходы:

11060,85

ИТОГО (+транспортные расходы):

84799,85

Таблица 8.2.2

п/п

Наименование

Количество

штук

Стоимость ед. изделия (руб.)

Итого, (руб.)

1

Канцтовары

-/-

700

2

Дискеты

5

14

70

3

Картриджи

1

1500

1500

ИТОГО:

2270

Транспортные расходы:

340,5

ИТОГО (+транспортные расходы):

2610,5

2)Транспортно-заготовительные расходы (ТЗР) составляют 15% от общей стоимости материалов, покупных и комплектующих изделий:

а) ТЗР = 73739 0,15 = 11060,85 руб.

б) ТЗР = 2270 0,15 = 340,5 руб.

3)Основная заработная плата исполнителей опытно-конструкторских работ.

На данную статью относится основная заработная плата научных сотрудников, участвующих в выполнении конкретной ОКР. Размер основной заработной платы устанавливается исходя из численности различных категорий исполнителей, трудоёмкости, затрачиваемой ими на выполнение отдельных видов работ, и их средней ставки за один рабочий месяц.

Основная заработная плата (ОЗП) разработчиков рассчитывается по следующей формуле:

,

где МО - должностной оклад разработчика;

ТФ - количество фактически отработанных дней;

ТРД - число рабочих дней в месяце (ТРД = 22 дня).

Таблица 8.3 - Основная заработная плата исполнителей ОКР

Этапы

Длительность в чел/дн.

Должность

Мес. оклад в руб.

Оплата за день руб.

Сумма,

полученная за работу в руб.

1

Разработка ТЗ на ОКР

20

Начальник сектора

18000

820

16400

2

Техническое предложение и эскизное проектирование

25

Ведущий инженер

15000

680

17000

3

Техническое

проектирование

30

Инженер-конструктор II-й категории

12000

550

16500

30

Инженер-разработчик II-й категории

12000

550

16500

4

Разработка

рабочей документации

10

Начальник сектора

18000

820

8200

10

Ведущий инженер

15000

680

6800

30

Инженер-конструктор II-й категории

12000

550

16500

30

Инженер-разработчик II-й категории

12000

550

16500

5

Изготовление и испытание опытного образца

10

Начальник сектора

18000

820

8200

10

Ведущий инженер

15000

680

6800

20

Начальник ОП

13000

600

12000

45

Технолог

11000

500

22500

45

Монтажник ЭРЭ

11000

500

22500

6

Итого

186400

4)Дополнительная заработная плата (ДЗП) исполнителей ОКР.

К ней относятся выплаты, предусмотренные законодательством за не проработанное время: оплата очередных и дополнительных отпусков, выплаты вознаграждений за выслугу лет и др.

В научных учреждениях дополнительная заработная плата составляет 20 % от основной заработной платы:

ДЗП = 186400 0,2 = 37280 руб.

Фонд оплаты труда вычисляется как сумма ОЗП и дзп:

5)Отчисления в фонды

Отчисления в фонды (СН) определяются в процентном отношении (35.6%) от суммы основной и дополнительной заработных плат, то есть от ФОТ.

ЕСН = 0.356 ФОТ

ЕСН = 0,356 223680 = 79630,08 руб.

6)Накладные расходы.

Здесь учитываются расходы на управление и хозяйственное обслуживание, заработная плата аппарата управления и общехозяйственных служб, затраты на содержание и текущий ремонт зданий, сооружений, оборудования и инвентаря, амортизационные отчисления на их полное восстановление и ремонт, расходы по охране труда.

Накладные расходы (НР) составляют 250% от основной заработной платы:

НР = 2,5 ОЗП = 2,5 186400 = 466000 руб.

7) Расходы на научные и производственные командировки

Величину расходов на научные и производственные командировки принимают равными 10% от суммы основной заработной платы научного и производственного персонала:

К = 0,1 ОЗП = 0,1 225406 = 22540,6 руб.,

где К - расходы на научные и производственные командировки.

8) Договорная цена.

Рассчитаем сначала норматив прибыли (НП). Он составляет 30% от стоимости разработки (СР)

НП = СР 30% = 957980,08 0,3 = 287394 руб.

Договорная цена (ДЦ) может быть найдена как:

ДЦ = СР + НП = 957980 + 287394 = 1 245 374 руб.

В итоге:

Сметная стоимость (СС) ОКР представлена в таблице 8.4:

Таблица 8.4 - Сметная стоимость (СС) ОКР

Наименование статей расхода

Стоимость (руб.)

1

Материалы и покупные изделия

2270

2

Специальное оборудование для научных (экспериментальных) работ

-

3

Основная заработная плата научного персонала

186400

4

Дополнительная заработная плата персонала

37280

5

Отчисления в фонды

79630,08

6

Расходы на научные и производственные командировки

22540,6

7

Оплата работ, выполняемых сторонними организациями и предприятиями

-

8

Прочие прямые расходы

-

9

Накладные расходы

466000

10

ИТОГО затраты на проект СС:

957980,08

11

Оптовая цена

-

12

НДС

-

13

Норматив прибыли

287394

14

Договорная цена

1 245 374

8.11 Технико-экономическое обоснование целесообразности выполнения проекта

Годовой экономический эффект рассчитывается по формуле :

ЭГ = [(Uа + Eн Kа) - (Uпр + Eн Кпр)] N > 0

где: Uа и Uпр - годовые эксплуатационные расходы по аналогичному и проектируемому изделию;

Eн - коэффициент экономической эффективности капитальных вложений ( 0,2);

Kа и Кпр - цена аналогичного и проектируемого изделия;

N = 10 - число потенциальных потребителей.

Эксплуатационные расходы аналогичного и проектируемого изделий примерно равны, поэтому можно пользоваться формулой интегрального экономического эффекта:

ЭГ = (Kа - Кпр) N

ЭГ = (1 265 000 - 1 245 374) 10 = 196 260 руб.

В результате выполнения организационно-экономической части дипломного проекта было доказано, что внедрение в производство новой модификации устройства несёт выгоды.

Сравнительные показатели по базовому и новому вариантам представлены в таблице 8.5.

Таблица 8.5.

Критерий

«образец»

баллы

Аналог

баллы

1. Надежность

8

7

2. Быстродействие

9

7

3. Эффективность

8

7

4. Безотказность

8

7

5. Безопасность

8

8

6. Возможность модернизации

9

7

В результате сравнения новой разработки и базового варианта обнаруживается ряд существенных преимуществ в пользу новой разработки. Повышается надежность, быстродействие, точность новой разработки устройства шумовой автоматической регулировки усиления за счет применения современной, более быстродействующей элементной базы, а именно использование ПЛИС, которая позволяет так же снизить энергопотребление и уменьшить габариты конечного устройства.
В связи с постоянным обновлением элементной базы, а также появлением новых быстродействующих микросхем с меньшей потребляемой мощностью электроэнергии возникает возможность модернизации. Одним из главных же преимуществ использования ПЛИС является возможность программирования или изменения конфигурации устройства непосредственно в системе, что позволяет корректировать работу ячейки.

Новая разработка данной системы, входящая в наземную РЛС увеличит ее быстродействие, точность, надежность, что повысит ее конкурентоспособность на рынке.

8.12 Использование программно-аппаратных средств

Персональный компьютер:

Intel Pentium 4 CPU 2,8GHz, RAM 512 MB, HDD 200 Gb.

Программные стредства:

1. Quartus II v9.0.

2. MathWorks Matlab 2011b & Simulink 2011b.

3. Microsoft Office 2010.

4. Microsoft Visio 2010.

Экономической часть дипломного проекта направлена на описание новой системы шумовой автоматической регулировки усиления. Показано, что новая элементная база несёт в себе не только обновления модификации по последнему слову техники, но и позволяет уменьшить габариты устройства и упростить его настройку, а так же является более выгодной и целесообразной с экономической точки зрения. В этой главе были рассмотрены этапы опытно-конструкторских работ (ОКР) продукта и наиболее вероятные сроки их выполнения. Проведён расчёт сметной стоимости (СС) ОКР, которая составила 1 245 374 рублей. Годовой экономический эффект составил 196 260 рублей, что говорит о целесообразности изготовления проектируемого изделия.

Также в результате проделанной работы было произведено технико-экономическое обоснование данной разработки, приведен график сроков выполнения работ, по которому определено время изготовления изделия, составившего порядка 150 дней.

Благодаря полученным представлениям о программируемых логических интегральных схемах можно сделать предположение о том, что модернизация данного семейства устройств со временем будет набирать всё большее объёмы, что может привести к более простым в процессе сборки вариантам устройства подобного функционирования, но не уступающим в характеристиках.

Все выше сказанное означает, что в случае востребованности данного продукта определённым заказчиком - возрастёт интерес к его разработке, а значит перспективный рост предприятия, что станет гарантом обеспечения его будущего и интерес к нему со стороны потенциальных клиентов.

9. Экологичность и безопасность проекта

9.1 Введение

Производимое устройство (модулятор) является электронным блоком и проходит сложный технологический процесс изготовления. Технологический процесс содержит такие операции, как пайка (ручная и автоматическая), формовка и обрезка выводов, лакокрасочные работы. Все они требуют соблюдения норм и требований безопасности к организации рабочих мест, помещений и производства в целом.

В данном разделе пояснительной записки рассмотрены вопросы обеспечение комфортных условий работы персонала в монтажном цеху. В частности будут рассмотрены: организация кондиционирования и освещенности.

9.2 Организация рабочих мест

Помещение монтажных участков должны отвечать требованиям норм СП 44.13330.2011, СП 52.13330.2011 и др.

Полная площадь цеха составляет 86,4 м2. Длина и ширина помещения соответственно равны 14,4 м и 6 м, а высота - 4 м. Весь цех небольшими перегородками разбит на три комнаты:

§ в первой происходит формовка и лужение выводов ЭРЭ, установка ЭРЭ, контроль пайки;

§ во второй - пайка ЭРЭ;

§ в третьей - промывка сушка платы.

Площадь и объем участков пайки определяются с учётом того, что норма площади и объема не должна быть меньше 4,5 м2 и 15 м3 на одного работающего, исключая площадь, занимаемую оборудованием и проходами.

Полы на участке должны быть прочными, не скользкими, не пыльными, позволяющими проводить влажную уборку.

Все производственные помещения должны иметь гладкие, бесшовные влагостойкие покрытия стен, дверей, оконных переплетов, допускающие их влажную уборку.

Условием зрительной работы и снижению психологических нагрузок способствует правильная окраска помещений. Она должна соответствовать требованиям эргономики, стены помещения рекомендуется окрашивать в светлые тона.

Специфика работы монтажника такова, что рабочей позой выбирается поза «сидя». Она определяется, когда человек сидит на сидении без спинки с горизонтальной поверхностью при глубине, обеспечивающей поддержку не более 1/3 длины бедра.

При проектировании рабочего места нужно руководствоваться ГОСТ 12.2.032-78 [11].

9.3 Микроклимат

Под рабочим местом подразумевается место постоянного или временного пребывания рабочего, а под рабочей зоной - пространство высотой 2 м над уровнем пола, на котором расположено рабочее место монтажника.

Микроклимат производственных помещений определяется сочетанием температуры, влажности и скорости движения воздуха. Параметры и концентрация примесей вредных веществ в воздухе на рабочих местах электромонтажников должен соответствовать ГОСТ 12.1.005-88 [12].

В таблице 9.1 приведены данные по токсичности для припоев низкотемпературной пайки.

Таблица 9.1 - Токсичность припоев для низкотемпературной пайки и их ПДК в воздухе рабочей зоны

Состав припоев

Характер воздействия

Влияние на кожу

ПДК

мг/м3

Класс опасности

Припои, содержащие кадмий, свинец, бериллий

Высокая степень токсичности, воздействие на почки, кровообращение, органы пищеварения

Раздражение, экзема

0,01 Pb

0,1 Cd

0,001 Be

1

1

1

На основе олова

Малотоксичны.

Вызывают пневмокониоз

Хроническая экзема

10

3

Флюсы, применяемые для удаления оксидов с поверхности паяемых материалов, также токсичны и требуют повышенных мер предосторожностей при их хранении и применении. Находятся ли предельно допустимые концентрации вредных веществ в воздухе, определяется по формуле (9.1).

, (9.1)

где С1, С2, … Сn - концентрации соответствующих вредных веществ в воздухе, мг/м3;

ПДК1, ПДК2, … ПДКn - предельно допустимые концентрации соответствующих вредных веществ, мг/м3.

,

то есть ПДК находится в пределах нормы.

Труд монтажника относится ко второй категории, поэтому микроклимат должен соответствовать требованиям, представленным в таблице 9.2.

Таблица 9.2

Период года

Температура, °С

Относительная влажность, %

Скорость движения воздуха, м/с

оптим.

допуст.

оптим.

допуст.

оптим.

допуст.

Холодный и переходный

18..20

17..23

40..60

75

0,2

0,3

Теплый

21..23

18..27

40..60

65 (при 26 ?С)

0,3

0,2..0,4

Количество тепла выделяемого одним человеком при средней тяжести работ равно: при 10?С - 165 Вт; при 35?С - 5 Вт. В помещении постоянно находятся 9 человек, следовательно количество выделяемого тепла равно: при 10?С - 1485 Вт; при 35?С - 45 Вт.

Тепловыделения в помещение от оборудования отпеределяется выражением (9.2):

Q = 1000·N·з1·з2·з3·з4 , (9.2)

где N·- установочная мощность электродвигателей, кВт;

з1 - коэффициент использования установочной мощности, равный 0,7..0,9;

з2 - коэффициент загрузки - отношение средней потребляемой мощности к максимально необходимой, равный 0,5..0,8;

з3 - коэффициент одновременности работы электродвигателей, равный 0,5..1;

з4 - коэффициент, характеризующий долю механической энергии, превратившейся в тепло.

При работе оборудования без охлаждающей эмульсии значение произведений коэффициентов можно принимать равным 2,5.

Таблица 9.3 - Мощность оборудования

Операция

Наименование станка

Марка

Мощность, кВт

1

Лужение выводов ЭРЭ

Электрический тигель

Т-40-21 с РТП-2М

11

2

Пайка ЭРЭ

Установки модульного типа

АП-10

13,6

3

Промывка платы

Вибрационные установки

М10-232

12,3

4

Сушка платы

Термошкаф

СНОЛ-3535/3

14

Итого

50,9

Q = 1000·50,9·2,5 = 127250 Вт

Тепловыделение от ванны с припоем определяется по выражению (9.3):

Q = 1000·N·б·n·30% , (9.3)

где б = 0,3 - коэффициент, учитывающий долю тепла, выходящего в цех;

n - коэффициент одновременности работы печей.

Q = 1000·13,6·0,3·0,3 = 1224 Вт

Тепловыделения от искусственных источников определяется по выражению (9.4):

Q = 1000·N , (9.4)

где N·- расходуемая мощность светильников, кВт.

Q = 1000·(40+7·60)/1000 = 460 Вт

При 10 ?С: Qобщ. = 130419 Вт ? 130 кВт.

При 35 ?С: Qобщ. = 128979 Вт ? 129 кВт.

Для обеспечения нормативных параметров воздуха в помещении устанавливается система кондиционирования или система вентиляции и отопления. Обычно применяют приточную вентиляцию, подающую воздух равномерно в верхнюю зону в количестве, составляющем 90% объема вытяжки. Применения рециркуляции воздуха в помещении пайки свинцовыми припоями не допускается.

9.4 Общая и местная вентиляция

Для защиты электромонтажников от влияния токсичных газов, дымов, аэрозолей, которые обязуются при пайке флюсом, рабочие места должны оснащаться общей и местной вытяжной вентиляцией [13].

В зависимости от способа перемещения воздуха вентиляция может быть естественной или механической.

9.4.1 Общая вентиляция

Расчёт необходимого количества воздуха для помещений с тепловыделениями производится по избыткам явного тепла, по следующей формуле (9.5):

, (9.5)

где Qизб - избыточное тепло в помещении, кДж/ч;

Cс - массовая удельная теплоёмкость сухого воздуха, равная 1,005 кДж/(кг·?С);

с - плотность поступающего воздуха, равная 1,226 кг/м3;

tвыт - температура воздуха, удаляемого из рабочей или обслуживаемой зоны помещения, ?С;

tпр - температура воздуха, подаваемого в помещение, ?С;

Средняя величина тепловыделений в помещении на 1 м2 составляет 130 кДж/ч, что для помещения площадью 86,4 м2:

Qизб = 130· 86,4 = 11232 кДж/ч.

Температура воздуха, удаляемого из помещения, рассчитывается по формуле (9.6):

tвыт = tр.з + Дt·(Н - 2) , (9.6)

где tр.з - температура в рабочей зоне, ?С;

Дt - температурный градиент по высоте помещения, ?С/м;

Н - расстояние от пола помещения до центра вытяжных проёмов, м.

tвыт = 20 + 2·(4 - 2)·= 24 ?С

По имеющимся данным можно рассчитать требуемый расход воздуха для вентиляции рабочего помещения (Vпомещ. = 345,6 м3):

м3/ч = 0,5064 м3/с.

Рисунок 9.1 - Схема приточной механической вентиляции:

На рисунке 9.1 приняты следующие обозначения :

1 - воздухоприёмник для забора чистого воздуха;

2 - воздуховод;

3 - фильтр для очистки воздуха от пыли;

4 - калориферы;

5 - вентиляторы;

6 - воздухораспределительные устройства (насадки).

9.4.1 Местная вентиляция

Для отсоса паров флюса и припоя при пайке применяется местный отсос в виде прямоугольного отверстия, которое расположено на рабочем месте монтажника, непосредственно рядом с местом пайки. Определяется количество отсасываемого воздуха (9.7):

, (9.7)

где S - площадь высасывающего отверстия, м2;

Е - большая сторона отверстия, м;

Х - расстояние от плоскости всасывающего отверстия до зоны пайки;

Vx - скорость воздуха в зоне пайки.

Для Vx выбирается значение 0,6 м/с. Принимается Е = 0,3 м, а Х=0,20 м. Определяется оптимальный размер наименьшей стороны всасывающего отверстия:

Площадь всасывающего отверстия:

По формуле (10.7) определется количество отсасываемого воздуха:

Далее определяется допустимая концентрация пыли в удаляемом воздухе. Так как для всех рабочих мест помещения общее количество отсасываемого воздуха:

,

то

, (9.8)

где К - коэффициент зависящий от ПДК пыли в воздухе рабочей зоны (для аэрозоля свинца К=0,3);

L - объём удаляемого воздуха, тыс. ;

, (9.9)

где y - удельное образование свинца ; y = 0,03;

n - количество паек в минуту, n = 10;

N - количество рабочих мест.

.

Так как >>, то в применении специальных мероприятий по охране окружающей среды нет необходимости.

Рисунок 9.2 - Местная вентиляция

9.5 Освещённость

На электромонтажном участке применяют как искусственное, так и естественное освещение. Искусственное и естественное освещение производственных помещений и бытовых должно соответствовать требованиям СП 52.13330.2011 [14]. Искусственное освещение создают лампами накаливания или люминесцентными. Освещение в производственных помещениях зависит от размеров и конфигурации паяльных, сборочных единиц, объектов различения, а также наличия отражающих поверхностей.

При внезапном отключении освещения в рабочих помещениях предусмотрено аварийное освещение, освещение безопасности (5% нормируемой для общего освещения) (9.10):

, (9.10)

где d - минимальный размер объекта различения;

l - расстояние от объекта до глаз работающего.

Полученное значение входит в интервал 6·10-3..6·10-3, т. е. ЙЙЙ разряд работ (работ высокой точности с номинальным размером объекта различия 0,3..0,5 мм).

Освещение бывает общим, местным и комбинированным [16]. При электромонтажных работах используется комбинированное освещение. Освещенность при комбинированном освещении 2000 лк, общее составляет 200 лк, а местное 1800 лк.

Для местного освещения рабочих мест следует использовать светильники с непросвечивающими отражателями. Светильники должны располагаться таким образом, чтобы их светящие элементы не попадали в поле зрения работающих на освещаемом рабочем месте и на других рабочих местах. Местное освещение рабочих мест, как правило, должно быть оборудовано регуляторами освещения.

Количество и расположение светильников общего освещения рассчитается в программе DIALux light. Задаются параметры помещения:

§ длина - 14,4 м;

§ ширина - 6 м;

§ высота - 4 м;

§ высота рабочей поверхности - 1,1 м.

Выбирается светильник ASTZ АСТЗ ПВЛМ П-2х40-012+Д2+Р2.

Данный светильник содержит две люминесцентные лампы Т12 G13 мощностью 40 Вт каждая. Подбирается количество светильников и конфигурацию их расположения.

Рисунок 9.3. Распределение освещенности системы общего освещения в помещение монтажного цеха.

Для того, чтобы помещение площадью 86,4 м2 обеспечить световым потоком 200 лк необходимо девять светильников, общая потребляемая мощность которых составляет 720 Вт.

Равномерность распределения освещенности Eмин/Eмакс не должна превышать для работ I - III разрядов - 0,7, что выполняется.

Индекс помещения рассчитывается по формуле (9.11):

, (9.11)

где h - расстояние от светильника до рабочей поверхности, м;

A - длина помещения, м;

B - ширина помещения, м.

;

Максимально допустимые удельные установленные мощности

искусственного освещения в производственных помещениях с освещенностью рабочей поверхности 200 лк и индексом помещения 0,6..1,25 составляет не более 11 Вт/м2. В данном случае:

,

то есть данное требование также выполняется.

В данном разделе были освещены вопросы обеспечения комфортных условий труда. Были произведены расчеты общей и местной вентиляции, а также общего освещения в цеху монтажа радиоэлектронных элементов.

Заключение

В рамках дипломного проекта на основе проведенного анализа исходных данных был выбран адаптивный фильтр в качестве базовой структуры для реализации алгоритма работы системы шумовой автоматической регулировки усиления. Были рассмотрены и промоделированы возможные реализации алгоритмов работы системы. Для реализации выбрал алгоритм, использующий оценку дисперсии для перестройки коэффициента передачи системы регулирования мощности шума без использования квадратурных составляющих выходного сигнала.

Был разработан программно-аппаратный модуль реализующий данную систему, описан алгоритм его функционирования и проведена временная симуляция, показывающая корректность проведенных действий.

В первой главе проведен анализ исходных данных, и выделены возможные пути улучшения характеристик системы. Показана возможность реализации данной системы на основе цифрового трансверсального адаптивного фильтра первого порядка. На основе адаптивного фильтра были выведены выражения, описывающие возможные алгоритмы регулирования мощности шума на выходе проектируемой системы, использующие разный подход к методу оценки мощности шума на входе и выходе устройства.

Во второй главе был описан процесс моделирования возможных алгоритмов функционирования системы ШАРУ. Была продемонстрирована точность всех выбранных алгоритмов относительно теоретического алгоритма, расчет которого производился в арифметике с плавающей запятой. Для реализации системы ШАРУ был выбран алгоритм регулирования мощности шума, основанный на адаптивном фильтре, использующий для оценки мощности шума на входе и выходе системы среднее значение дисперсии. Данный алгоритм отличается высокой точности и относительной простой реализации. Разработана структурная схема выбранного алгоритма, проведено математическое моделирование, демонстрирующее его функционирование.

В третьей главе на основе структурной схемы была разработана функциональная схема выбранного алгоритма, описан алгоритм функционирования системы ШАРУ и произведена его текстовая реализация на языке Verilog HDL. Разработана интерфейсная часть модуля, для его последующего встраивания в файл верхнего уровня. Описаны изменяемые и локальные параметры разработанного модуля.

В четвертой главе были описаны ограничения, накладываемые на выбор микросхемы ПЛИС и конфигурационного ПЗУ для неё. В соответствии с этими ограничениями, для реализации системы ШАРУ была выбрана ПЛИС EPF10K100ARI240-3 и конфигурационное ПЗУ .EPC2LI20 фирмы Altera. Приведено описание принципиальной схемы и методики конфигурирования ПЛИС

В пятой главе проведен расчет надежности системы ШАРУ. Изложены основные требования по надежности, предъявляемые к разрабатываемому устройству. Рассмотрены основные понятия, связанные с надежностью. Отдельно приведены основные формулы для расчета наработок на отказ. Расчет надежности системы оформлен в виде таблицы и представлен в приложении Ж. По результатам проведенного расчета сделан вывод о том, что разрабатываемая система полностью удовлетворяет требованиям ТЗ по надежности.

В шестой главе проанализирован программный модуль системы шумовой автоматической регулировки усиления синтезированный в главе 3. Описаны критерии оценки оптимальности. Составлена таблица, содержащая

количество аппаратных ресурсов задействованных при компиляции проекта ПЛИС, а так же оценка быстродействия. Анализ показал, что максимальная тактовая частота, при которой гарантируется точное функционирование модуля, составляет 58,7 МГц, что превосходит заданное в ИД значение более, чем в два раза. Проведено временно моделирование с использование встроенных средств программного пакета Quartus II. Показано, что разработанный модуль функционирует согласно принятому алгоритму.

В седьмой главе был проведен выбор конструкции устройства. Приведено обоснование применения типовой конструкции с одной МПП установленных размеров, состоящей из четырех слоев. Описаны условия стойкости, прочности и устойчивости устройства к воздействию климатических и механических факторов.

В восьмой главе был произведен расчет затрат и составлена смета затрат на выполнение проекта. Разработан бизнес-план и составлен календарный график выполнения проекта. Приведено технико-экономическое обоснование целесообразности выполнения проекта.

В девятой главе были описаны требования, предъявляемые к микроклимату производственных помещений. Выполнен расчет общей и местной вентиляции, общего освещения в цеху монтажа радиоэлектронных элементов.

Список используемых источников

1.Уидроу Б., Стирнз С. Адаптивная обработка сигналов. Пер. с англ - М.: Радио и связь, 1989.

2.Гудков Н., Адаптивные алгоритмы регулирования уровня дискретных сигналов // Цифровая обработка сигналов. - 2005. - №2. - с.11-14.

3.Лайонс Р. Цифровая обработка сигналов: Второе издание. Пер. с англ. - М.: ООО «Бином-Пресс», 2006 г. - 656 с.

4.Электронный справочник по функциям Matlab (http://matlab.exponenta.ru/ml/book2/)

5.Стешенко В. Б. ПЛИС фирмы «ALTERA»: Элементная база, система проектирования и языки описания аппаратуры. - М.: Издательский дом «Додэка - XXI», 2002.

6.Электронный справочник по микросхемам фирмы «Altera» (www.altera.com).

7.Грибов В.Д., Грузинов В.П. Экономика предприятия. - М.: Финансы и статистика, 2007. - 336 с.

8.Сергеев И.В. Экономика организации предприятия. - М.: Финансы и статистика, 2007. - 574с.

9.Григорьев Л.Н. Радиолокационные системы. Учебное пособие. МИРЭА (ТУ) - М,,2003.-144с.

10.Г.М. Батишева, М.В. Забродина, Р.Р. Курганова, Н.Д. Николаева, И.А. Нуль, И.Р. Никорук, Вл.И. Солнцев, В.И. Солнцев. Экономика предприятия и основы предпринимательства - М.: МИРЭА, 2001. - 20с.

11.ГОСТ 12.2.032-78 «СС БТ. Рабочее место при выполнении работы сидя. Общие эргономические требования».

12.ГОСТ 12.1.005-88 «СС БТ. Воздух рабочей зоны. Общие санитарно-гигиенические требования»

13.Безопасность жизнедеятельности/Под ред. Русака О.Н.-- С.-Пб.: ЛТА, 1996.

14.СП 52.13330.2011 »Естественное и искусственное освещение».

15.Безопасность жизнедеятельности. Арустамов Э.А. - 2006

Приложение А

Программный код модели погрешности расчета коэффициента передачи

function shary_Errors;

close all

% noise.txt содержит дискретные отсчеты шума в виде таблицы,

% в которой первый столбец - номер отсчета, второй - значение %шума.

IN = load('noise.txt');

NOISE = IN(:,2);

NOISE = cat(1,NOISE,NOISE,NOISE,NOISE,NOISE);

NOISE = cat(1,NOISE,NOISE);

%параметры

K = 32;

M = 0.01;

razm = 16;

CKOt = 70;

% расчет коэффициентов передачи для всех алгоритмов

g = 0;

for G = cat(2, 0.3:0.1:1, 1.3, 1.5, 1.7, 2, 2.5, 3 )

g = g+1;

Gn(g) = G;

X = NOISE.*G;

%%%%%% ско теор

[H_OUT_E1,Wgg_E1,CKO_RAS_E1] = shary_teor_do_kv(X,CKOt,0,K,M);

%%%%%% ско кв теор

[H_OUT_E2,Wgg_E2,CKO_RAS_E2] = shary_teor_posle_kv

(X,CKOt,0,K,M);

%%%%%% дисп теор

[H_OUT_ED,Wgg_ED,CKO_RAS_ED] = shary_teor_disp_do_kv_E

(X,CKOt,0,K,M);

%%%%%% ско

[H_OUT_1,Wgg_1,CKO_RAS_1] = shary_do_kv(X,CKOt,razm,K,M);

% %%%%% кв ско

[H_OUT_2,Wgg_2,CKO_RAS_2] = shary_posle_kv(X,CKOt,razm,K,M);

%%%%%% дисп

[H_OUT_D1,Wgg_D1,CKO_RAS_D1] = shary_disp_do_kv

(X,CKOt,razm,K,M);

%%%%%% кв дисп

[H_OUT_D2,Wgg_D2,CKO_RAS_D2] = shary_disp_posle_kv

(X,CKOt,razm,K,M);

%%%%% УСТОЯВШИЙСЯ КОЭФФИЦИЕНТ

WE1 = Wgg_E1;

WE1_dB(g) = 20*log10(WE1);

WE2 = Wgg_E2;

WE2_dB(g) = 20*log10(WE2);

WED = Wgg_ED;

WED_dB(g) = 20*log10(WED);

WD1 = Wgg_D1;

WD1_dB(g) = 20*log10(WD1);

WD2 = Wgg_D2;

WD2_dB(g) = 20*log10(WD2);

W1 = Wgg_1;

W1_dB(g) = 20*log10(W1);

W2 = Wgg_2;

W2_dB(g) = 20*log10(W2);

End

%%%% Вид шума на входе

NOISE=NOISE(1:8000);

figure(1)

plot(NOISE)

grid on

figure(2)

stem(NOISE(1000:1100))

grid on

%%%% изменение коэффициента передачи

figure(3)

plot(Gn,WE1_dB,Gn, WE2_dB, Gn, WED_dB,Gn, WD1_dB,Gn, WD2_dB,Gn, W1_dB,Gn, W2_dB)

legend('W ско теор', 'W ско кв теор', 'W дисп теор','W дисп', 'W кв дисп', 'W ско', 'W кв ско')

grid on

%%%% отклонение от теоретического алгоритма

figure(4)

plot(Gn,WE1_dB-WE1_dB,Gn, WE2_dB-WE1_dB, Gn, WED_dB-WE1_dB,Gn, WD1_dB-WE1_dB,Gn, WD2_dB-WE1_dB,Gn, W1_dB-WE1_dB,Gn, W2_dB-WE1_dB)

legend('W ско теор', 'W ско кв теор', 'W дисп теор','W дисп', 'W кв дисп', 'W ско', 'W кв ско')

grid on

%%%%%% Вспомогательные функции

function Y = hilb_tran(X)

N = length(X);

if mod(N,2)==1 N=N-1; end

k = 1:2:N-1;

Y(:,1) = X(k);

N = 2:2:N;

Y(:,2) = X(n);

k = 1:2:N/2-1;

n = 2:2:N/2;

Y(n,1) = Y(n,1).*-1;

Y(k,2) = Y(k,2).*-1;

function [H_OUT,W_out,CKO_OUT] = shary_teor_do_kv

(X,CKOt,razr,K,M);

N = length(X);

N_ocenok = floor(N/K);

N_razr = 2^razr;

Wg = N_razr;

for k=1:N_ocenok

Z(((k-1)*K+1):((k-1)*K+K))=

floor(X( ((k-1)*K+1):((k-1)*K+K)).*Wg./N_razr);

Zn = Z(((k-1)*K+1):((k-1)*K+K));

Xn = X(((k-1)*K+1):((k-1)*K+K));

CKOz = sqrt(sum(Zn.^2)/K);

CKOx = sqrt(sum(Xn.^2)/K);

Qm = 2*M*N_razr*(CKOt-CKOz);

Qd = Qm / CKOx;

Wg = Wg + Qd;

CKO_OUT(k) = CKOz;

end

H_OUT = hilb_tran(Z);

W_out = Wg/N_razr;

function [H_OUT,W_out, CKO_OUT] = shary_teor_posle_kv

(IN,CKOt,razr,K,M);

N = length(IN);

Kh = K*2;

Nh_ocenok =floor(N/Kh);

N_razr = 2^razr;

Wg = N_razr;

for k=1:1:Nh_ocenok

H_OUT(((k-1)*K+1):((k-1)*K+K),:) = hilb_tran

(floor(IN(((k-1)*Kh+1):(k-1)*Kh+Kh).*Wg./N_razr));

X = H_OUT(((k-1)*K+1):((k-1)*K+K),1);

Y = H_OUT(((k-1)*K+1):((k-1)*K+K),2);

CKO_out = sqrt(sum(X.^2+Y.^2)./Kh);

Xin = IN(((k-1)*Kh+1):((k-1)*Kh+Kh));

CKO_in = sqrt(sum( Xin.^2)/Kh);

Qm = 2*M*N_razr*(CKOt-CKO_out);

Qd = Qm / CKO_in;

Wg = Wg + Qd;

CKO_OUT(k) = CKO_out;

end

W_out = Wg / N_razr;

function [H_OUT,W_out,CKO_OUT] = shary_teor_disp_do_kv_E

(X,CKOt,razr,K,M);

N = length(X);

N_ocenok = floor(N/K);

N_razr = 2^razr;

Wg = N_razr;

for k=1:N_ocenok

Z(((k-1)*K+1):((k-1)*K+K)) =

floor(X( ((k-1)*K+1):((k-1)*K+K)).*Wg./N_razr);

Zn = Z(((k-1)*K+1):((k-1)*K+K));

Xn = X(((k-1)*K+1):((k-1)*K+K));

CKOz = sum(Zn.^2) / K;

CKOx = sum(Xn.^2) / K;

Qm = 2*M*N_razr*(CKOt.^2-CKOz);

Qd =Qm / CKOx;

Wg = Wg + Qd;

CKO_OUT(k) = floor(sqrt(floor(sum(Zn.^2)/K)));

end

H_OUT = hilb_tran(Z);

W_out = Wg / N_razr;

function [H_OUT,W_out,CKO_OUT]=shary_do_kv(X,CKOt,razr,K,M);

N = length(X);

N_ocenok = floor(N/K);

N_razr = 2^razr;

Wg = N_razr;

for k=1:N_ocenok

Z(((k-1)*K+1):((k-1)*K+K)) =

floor(X( ((k-1)*K+1):((k-1)*K+K)).*Wg./N_razr);

Zn = Z(((k-1)*K+1):((k-1)*K+K));

Xn = X(((k-1)*K+1):((k-1)*K+K));

CKOz = floor(sum(abs(Zn))/K);

CKOx = floor(sum(abs(Xn))/K);

Qm = floor(2*M*N_razr)*(CKOt-CKOz);

Qd = floor(Qm/CKOx);

Wg = Wg + Qd;

CKO_OUT(k) = CKOz;

end

H_OUT = hilb_tran(Z);

W_out = Wg / N_razr;

function[H_OUT,W_out,CKO_OUT]=shary_posle_kv(IN,CKOt,razr,Kh,M);

N = length(IN);

K = Kh / 2;

Nh_ocenok = floor(N/Kh);

N_razr = 2^razr;

Wg = N_razr;

for k=1:Nh_ocenok

H_OUT(((k-1)*K+1):((k-1)*K+K),:)=hilb_tran

(floor(IN(((k-1)*Kh+1):(k-1)*Kh+Kh).*Wg./N_razr));

X = abs(H_OUT(((k-1)*K+1):((k-1)*K+K),1));

Y = abs(H_OUT(((k-1)*K+1):((k-1)*K+K),2));

CKO_out=floor(sum(7/8*max(X,Y)+9/16*min(X,Y))./(K*sqrt(2)));

Xn = IN(((k-1)*Kh+1):((k-1)*Kh+Kh));

CKO_in = floor(sum( abs(Xn))./Kh) ;

Qm = floor(2*M*N_razr)*(CKOt-CKO_out);

Qd = floor(Qm/CKO_in);

Wg = Wg + Qd;

CKO_OUT(k) = CKO_out;

end

W_out=Wg / N_razr;

function[H_OUT,W_out,CKO_OUT]=shary_disp_do_kv(X,CKOt,razr,K,M);

N = length(X);

N_ocenok = floor(N/K);

N_razr = 2^razr;

Wg = N_razr;

for k=1:N_ocenok

Z(((k-1)*K+1):((k-1)*K+K)) =

floor(X( ((k-1)*K+1):((k-1)*K+K)).*Wg./N_razr);

Zn = Z(((k-1)*K+1):((k-1)*K+K));

Xn = X(((k-1)*K+1):((k-1)*K+K));

CKOz = floor(sum(Zn.^2)/K);

CKOx = floor(sum(Xn.^2)/K);

Qm = floor(2*M*N_razr)*(CKOt.^2-CKOz);

Qd = floor(Qm/CKOx);

Wg = Wg + Qd;

CKO_OUT(k) = floor(sqrt(floor(sum(Zn.^2)/K)));

end

H_OUT = hilb_tran(Z);

W_out = Wg / N_razr;

Function [H_OUT,W_out,CKO_OUT] = shary_disp_posle_kv

(IN,CKOt,razr,Kh,M);

N = length(IN);

K = Kh/2;

Nh_ocenok = floor(N/Kh);

N_razr = 2^razr;

Wg = N_razr;

for k=1:Nh_ocenok

H_OUT(((k-1)*K+1):((k-1)*K+K),:) = hilb_tran

(floor(IN(((k-1)*Kh+1):(k-1)*Kh+Kh).*Wg./N_razr));

X = abs(H_OUT(((k-1)*K+1):((k-1)*K+K),1));

Y = abs(H_OUT(((k-1)*K+1):((k-1)*K+K),2));

CKO_out = floor(sum(X.^2+Y.^2)./Kh);

Xn = IN(((k-1)*Kh+1):((k-1)*Kh+Kh));

CKO_in = floor(sum(Xn.^2)./Kh) ;

Qm = floor(2*M*N_razr)*(CKOt.^2-CKO_out);

Qd = floor(Qm/CKO_in);

Wg = Wg + Qd;

CKO_OUT(k) = sqrt(sum(H_OUT(((k-1)*K+1):((k-1)*K+K),1).^2

+ H_OUT(((k-1)*K+1):((k-1)*K+K),2).^2)./Kh);

end

W_out=Wg / N_razr;

Приложение Б

Программный код модели, демонстрирующий работу системы ШАРУ

function shary_disp_demo

close all

% noise.txt содержит дискретные отсчеты шума в виде таблицы,

% в которой первый столбец - номер отсчета, второй - значение %шума.

IN = load('noise.txt');

NOISE = IN(:,2);

%параметры

razm = 15;

N_razm = 2^razm;

CKOt = 70;

K = 32;

M = 0.01;

% расчет СКО в процессе подстройки для 3х случаев

g=0;

for G = [0.5 1 2]

X = NOISE.*G; % при 1 cko примерно 70

g = g+1;

[Y(:,g), W_out, Wgp(:,g),CKO_OUT(:,g)] =

shary_disp_do_kv(X,CKOt,razm,K,M);

K_ocenok = floor(length(NOISE)/K);

for k=1:K_ocenok

D_S = ((k-1)*K+1):((k-1)*K+K);

CKO_SHUM_IN(k,g) = sqrt(sum(X(D_S).^2)/K);

end

end

%изменение шума в процессе подстройки для G=2

OUT(1:1999) = 2*NOISE(1:1999);

OUT(2000:2000+length (Y(:,3))-1) = Y(:,3);

OUT = OUT(1:8000);

figure (1)

plot( OUT)

grid on

% графики изменения СКО в процессе подстройки для 3х случаев

figure(2)

subplot(2,1,1)

plot(K:K:K_ocenok*K, CKO_SHUM_IN')

grid on

legend('CKO IN (IN*0.5)','CKO IN (IN*1)','CKO IN (IN*2)')

hold on

subplot(2,1,2)

plot(K:K:K_ocenok*K,CKO_OUT')

grid on

legend('CKO OUT (IN*0.5) ','CKO OUT (IN*1) ','CKO OUT (IN*2) ')

hold on

% функция моделирующая алгоритм работы ШАРУ

function [Y,W_out, Wgp, CKO_OUT] =

shary_disp_do_kv(X,CKOt,razr,K,M);

N = length(X);

N_ocenok = floor(N/K);

N_razr = 2^razr;

Wg = N_razr; % расчет необходимого G(целого)

Dt = CKOt^2;

for k=1:N_ocenok

Z(((k-1)*K+1):((k-1)*K+K)) = floor(X( ((k-1)*K+1):

((k-1)*K+K)).*Wg./N_razr);

Zn = Z(((k-1)*K+1):((k-1)*K+K));

Xn = X(((k-1)*K+1):((k-1)*K+K));

Dz = floor(sum(Zn.^2)/K) ;

Dx = floor(sum(Xn.^2)/K) ;

Qm = floor(2*M*N_razr)*(Dt-Dz);

Qd = floor(Qm/Dx);

Wg_new = Wg+Qd;

If Wg_new>N_razr*2

Wg = N_razr*2;

Elseif Wg_new<N_razr/2

Wg = N_razr/2;

else

Wg = Wg_new;

end

CKO_OUT(k) = floor(sqrt(floor(sum(Zn.^2)/K)));

Wgp(k) = Wg;

end

Y = Z;

W_out = Wg;

Приложение В

Функциональная схема ШАРУ

Рис.

Приложение Г

Программный код модуля ШАРУ

//n =15, TRANS_COEF[n+1] т.е. верхняя граница - усиление в 2 //раза.

// нижняя граница - 2^15/2=32768/2=16384=2^14 - ослабление в 2 //раза

module block_shary#( parameter

WIDTH= 12, //входное слово 11+знак в прямом коде

n= 15, //значение единичного коэффициента передачи

// т.е. W=1 -- Wq=2^n

k= 5, // кол-во усреднений 2^k

DISP_ET= 4900)// CКО=70, DISP=70^2

( input clk,

input enable,

input [WIDTH-1:0] IN,

output reg [WIDTH-1:0] OUT,

//transmission coefficient

output reg [n:0] TRANS_COEF

);

localparam N= 1 << n, //2^n;

K= 1 << k, //2^k;

SPEED_COEF= 2*N/100, //2*M*N, M=0.01

TRANS_COEF_min= 1 << (n-1),

TRANS_COEF_CORR_max=4096;

initial TRANS_COEF= N;

reg [k-1:0] i;

reg [3:0] j; //max(j)= Width-2

// т.е. 10, что умещается в 4 разряда.

wire clk_on;

reg accum;

reg [WIDTH-1:0] OUT_tmp;

reg [WIDTH+n:0] OUT_mod_tmp;

reg OUT_zn_tmp1,OUT_zn_tmp2,OUT_zn_tmp3,OUT_zn_tmp4;

reg [WIDTH+WIDTH-3:0] OUT_kv;

reg [WIDTH+WIDTH-3:0] IN_kv;

reg [WIDTH+WIDTH-3:0] DISP_IN; // Dispersion on input

reg [WIDTH+WIDTH-3:0] DISP_IN_tmp;

reg [WIDTH+WIDTH-3+k:0] DISP_IN_sum;

// Dispersion on output

(*preserve*)reg [WIDTH+WIDTH-3:0] DISP_OUT;

reg [WIDTH+WIDTH-3+k:0] DISP_OUT_sum;

reg [WIDTH+WIDTH-3:0] DISP_ERROR;

//numerator to find the correction

reg [WIDTH+WIDTH-3+n-6:0] NUM_TO_CORR;

//correction of the transmission coefficient

reg [n-1:0] TRANS_COEF_CORR;

reg TRANS_COEF_CORR_zn;

wire [n-1:0] TRANS_COEF_CORR_tmp;

reg [n+1:0] TRANS_COEF_tmp;

assign clk_on = enable ? clk : 1'b0;

//полечение выходных значений, задержка знака, проверка на //переполнение.

always @(posedge clk)

begin

OUT_zn_tmp1<= IN[WIDTH-1];

OUT_zn_tmp2<= OUT_zn_tmp1;

OUT_zn_tmp3<= OUT_zn_tmp2;

OUT_zn_tmp4<= OUT_zn_tmp3;

if( OUT_mod_tmp[WIDTH+n:WIDTH+n-1]==2'b00)

begin

OUT_tmp <= { OUT_zn_tmp4, OUT_mod_tmp[WIDTH-2+n:n] };

end

else

begin

for (j=0; j<=WIDTH-2; j= j+1)

begin

OUT_tmp[j] <= 1;

end

OUT_tmp[WIDTH-1] <= OUT_zn_tmp4;

end

end

// выдача результата по заднему фронту

always @(negedge clk)

begin

OUT <= OUT_tmp;

end

// подстройка TRANS_COEF при enable = 1

always @(posedge clk_on)

begin

i <= i+1;

//накопление дисперсий

if(!accum)

begin

DISP_IN_tmp <= DISP_IN_sum >> k;

DISP_IN_sum <= IN_kv;

DISP_OUT <= DISP_OUT_sum >> k;

DISP_OUT_sum <= OUT_kv;

end

else

begin

DISP_IN_sum <= DISP_IN_sum + IN_kv;

DISP_OUT_sum <= DISP_OUT_sum + OUT_kv;

end

case(i)

0: begin

accum <= 0;

end

1: begin

Accum <= 1;

//сигнал ошибки и знак корректировки

if(DISP_ET >= DISP_OUT)

begin

DISP_ERROR <= DISP_ET - DISP_OUT;

TRANS_COEF_CORR_zn <= 0;

end

else

begin

DISP_ERROR <= DISP_OUT - DISP_ET;

TRANS_COEF_CORR_zn <= 1;

end

end

4: begin // подача знаменателя на делитель

DISP_IN <= DISP_IN_tmp;

end

29: begin // ограничение корректировки сверху

if(TRANS_COEF_CORR_tmp < TRANS_COEF_CORR_max)

begin

TRANS_COEF_CORR <= TRANS_COEF_CORR_tmp;

end

else

begin

TRANS_COEF_CORR <= TRANS_COEF_CORR_max;

end

end

30: begin // рассчет коректировки

if(!TRANS_COEF_CORR_zn)

begin

TRANS_COEF_tmp <= TRANS_COEF + TRANS_COEF_CORR;

end

else

begin

TRANS_COEF_tmp <= TRANS_COEF - TRANS_COEF_CORR;

end

end

31: begin // проверка границ регулирования и введение

// корректировки

if(TRANS_COEF_tmp[n+1]||(TRANS_COEF_tmp<TRANS_COEF_min))

begin

TRANS_COEF <= TRANS_COEF ;

end

else

begin

TRANS_COEF <= TRANS_COEF_tmp;

end

end

endcase

end

lpm_mult mult_IN_TRANS ( // OUT_mod_tmp = IN * TRANS COEF

.dataa (IN[WIDTH-2:0]),

.datab (TRANS_COEF),

.clock (clk),

.result (OUT_mod_tmp),

.aclr (1'b0),

.clken (1'b1),

.sum (1'b0));

defparam

mult_IN_TRANS.lpm_hint = "MAXIMIZE_SPEED=6",

mult_IN_TRANS.lpm_pipeline = 4,

mult_IN_TRANS.lpm_representation = "UNSIGNED",

mult_IN_TRANS.lpm_type = "LPM_MULT",

mult_IN_TRANS.lpm_widtha = WIDTH-1,

mult_IN_TRANS.lpm_widthb = n+2,

mult_IN_TRANS.lpm_widthp = WIDTH+1+n;

altsquare square_IN ( // IN^2

.clock (clk_on),

.data (IN[WIDTH-2:0]),

.result (IN_kv),

.aclr (1'b0),

.ena (1'b1));

defparam

square_IN.data_width = WIDTH-1,


Подобные документы

  • Механические колебания внешней среды, которые воспринимаются слуховым аппаратом человека. Звуки и шумы большой мощности. Правила ограничения шума. Воздействие сильного шума. Функциональное расстройство центральной нервной системы.

    доклад [7,1 K], добавлен 10.01.2007

  • Основные понятия о природе шума и его физических свойствах. Источники шума в городе, их характеристики. Методы борьбы с шумовой нагрузкой. Характеристика участка автодороги г. Екатеринбурга. Расчет эффективнгсти строительства шумозащитного экрана.

    дипломная работа [5,1 M], добавлен 24.01.2015

  • Физическая характеристика шума. Основные свойства шума, его классификация по частоте колебаний. Особенности воздействия шума на организм человека. Профессионально–обусловленные заболевания от воздействий шума. Характеристика средств уменьшения шума.

    презентация [1,8 M], добавлен 10.11.2016

  • Физическая характеристика шума, его частотная характеристика. Источники шума: автомобильный транспорт, железная дорога, авиатранспорт. Последствия и защита от шумов. Клиническое проявление шумовой болезни и предупреждение заболеваемости органа слуха.

    контрольная работа [27,3 K], добавлен 31.01.2010

  • Шум - медленный убийца. Современный шумовой дискомфорт. Вредное влияние на зрительный и вестибулярный анализаторы. Увеличение числа заболеваний. Ультразвук в гамме производственных шумов. Гигиенически допустимые уровни шума.

    реферат [10,9 K], добавлен 25.10.2006

  • Определение потребной мощности электрической осветительной установки для создания в производственном помещении заданной освещенности. Расчет и проверка естественного освещения. Вычисление уровня звукового давления. Разработка мероприятий по снижению шума.

    задача [1,7 M], добавлен 12.12.2009

  • Расчет ожидаемых уровней звукового давления в расчетной точке и требуемого снижения уровней шума. Расчет звукоизолирующей способности перегородки и двери в ней, подобрать материал для перегородки и двери. Расчет звукоизолирующих ограждений, облицовки.

    курсовая работа [103,1 K], добавлен 27.07.2008

  • Особенности и виды воздействия шума и вибрации, обоснование нормирования их показателей и величины. Средства измерения уровня шума и вибрации, их специфическое и неспецифическое действие. Разработка мероприятий по защите в производственных условиях.

    магистерская работа [2,5 M], добавлен 16.09.2017

  • Звук и его характеристики. Характеристики шума и его нормирование. Допустимые уровни шума. Средства коллективной защиты и средства индивидуальной защиты для людей от воздействия шума. Структурная схема шумомера и электронный имитатор источника шума.

    контрольная работа [53,5 K], добавлен 28.10.2011

  • Звук и акустика. Классификация и физические характеристики шума. Влияние шума на организм человека. Методы защиты от шума. Полная система уравнений теории упругости. Метод решения задачи для нахождения резонансной частоты колебаний и потенциала скоростей.

    дипломная работа [1,5 M], добавлен 17.04.2015

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.