Последовательный CAN-порт процессора обработки данных
Разработка структурной схемы и поведенческой модели последовательного CAN-порта. Методика синтеза и синтез схем. Построение топологии и анализ результатов. Техническая прогрессивность новой конструкции. Verilog-описание механизма сигнализации ошибок.
Рубрика | Программирование, компьютеры и кибернетика |
Вид | дипломная работа |
Язык | русский |
Дата добавления | 01.06.2013 |
Размер файла | 548,1 K |
Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже
Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.
ЩИ7.344.540
1
23
23
Вспомогательные материалы
5,6
Итого
-
281,8
Транспортно-заготовительные
Работы
-
215,5
215,5
Всего
-
-
497,32
Оплата труда исполнителей определяется исходя из трудоемкости квалификационных категорий работ и стоимости человека - часа с учетом надбавок. Результаты расчета основной заработной платы исполнителей ОКР, выполненного по данным ФГУП НИИЭТ, представлены в таблице 5.
Для заполнения таблицы необходимо знать количество исполнителей ОКР. Для расчета численности воспользуемся формулой:
(2)
где T - общая трудоемкость;
Ф - полезный фонд времени одного исполнителя;
квн - планируемый коэффициент выполнения норм выработки, равный 1,1.
(3)
Принимаем количество исполнителей равным 10-ти, их состав представлен в таблице 6.
Таблица 6 - Расчет основной заработной платы исполнителей ОКР
Исполнители |
Трудоемкость, чел./ч. |
Часовая оплата, руб. |
Заработная плата, руб. |
|
Ведущий инженер |
150 |
111 |
16650 |
|
Инженеры 1 категории |
420 |
103 |
43260 |
|
Инженеры 2 категории |
600 |
81 |
48600 |
|
Чертежник |
202 |
44 |
8888 |
|
Всего |
1372 |
- |
117398 |
Из таблицы 6 получаем размер фонда оплаты труда (ФОТ) равный 117398 рублей. С учетом фонда оплаты труда определяем договорную цену ОКР. Расчет представлен в таблице 7.
Таблица 7 - Расчет договорной цены ОКР
Наименование затрат |
Сумма, р |
Примечание |
|
1 Заработная плата исполнителей |
117398 |
-- |
|
2 Отчисления на социальные нужды |
30524 |
26 % от п. 1 |
|
3 Спецоборудование |
-- |
-- |
|
4 Комплектующие изделия и материалы |
497,32 |
-- |
|
5 Командировки |
-- |
-- |
|
6 Контрагентские расходы |
-- |
-- |
|
7 Прочие расходы В том числе 7.1 Поддержание научной работы 7.2 Поддержание патентной работы 7.3 Рекламная деятельность и поддержание научной работы студентов |
8426 2808 2808 2808 |
4,5 % от п. 10 1,5 % от п. 10 1,5 % от п. 10 1,5 % от п. 10 |
|
8 Отчисление во внебюджетный фонд |
2808 |
1,5 % от п. 10 |
|
9 Накладные расходы В том числе 9.1 Хозяйственные расходы 9.2 Общенисовские расходы |
28086 14979 13107 |
15,0 % от п. 10 8,0 % от п. 10 7,0 % от п. 10 |
|
10 Договорная цена |
187243 |
-- |
7.4 Выбор и обоснование товара-конкурента
В качестве товара-конкурента возьмём микроконтроллер последовательного CAN-порта фирмы Atmel AT90CAN128-16AI(AU). Розничная цена на микроконтроллер AT90CAN128-16AI(AU) составляет 973 р. Микроконтроллер аналогично разрабатываемому имеет интерфейс JTAG (IEEE 1149.1 совместимый), поддерживает CAN 2.0A и 2.0B и работает на частоте 16 МГц. Контроллер имеет широкое применение, его используют в таких областях, как автомобильный и железнодорожный транспорт, промышленная автоматика, авиация, системы доступа и контроля.
7.5 Анализ технической прогрессивности новой конструкции
Техническая прогрессивность электронной аппаратуры в значительной мере определяет её конкурентоспособность. Она является предпосылкой размера издержек производства и потребления и может быть установлена только при сравнении товаров между собой по группам технических параметров. Техническая прогрессивность измеряемых параметров характеризуется коэффициентом эквивалентности (Кэк). Результаты расчёта представлены в таблице 8.
Таблица 8 - Расчет коэффициента эквивалентности устройства последовательного CAN-порта
Наименование параметра |
“Вес” параметра, В |
Значение параметра |
Пб ---- Пэ |
Пн ---- Пэ |
Пб В---- Пэ |
Пн В---- Пэ |
|||
Пб |
Пн |
Пэ |
|||||||
Диапазон рабочих температур ?t, °С |
0,40 |
125 |
140 |
185 |
0,68 |
0,76 |
0,272 |
0,304 |
|
Диапазон питающих напряжений, В |
0,30 |
2,8 |
3 |
4 |
0,7 |
0,75 |
0,21 |
0,225 |
|
Потребляемая мощность, мВт |
0,20 |
0,002 |
0,0018 |
0,0015 |
0,75 |
0,83 |
0,15 |
0,166 |
|
Технологический процесс, мкм |
0,10 |
0,35 |
0,35 |
0,25 |
0,71 |
0,71 |
0,071 |
0,071 |
|
Итого |
1.00 |
- |
- |
- |
- |
- |
0,703 |
0,766 |
(4)
где Ктн и Ктб коэффициенты технического уровня базового и нового изделия.
В итоге получили Кэк = 1,1, что говорит о высоком техническом уровне разрабатываемого изделия.
7.6 Анализ изменений функциональных возможностей
Анализ изменений функциональных возможностей проводится на основе сравнения параметров нового изделия и товара-конкурента, которые не могут быть измерены и устанавливаются экспертами. Изменение функциональных возможностей нового изделия характеризуется коэффициентом изменения функциональных возможностей КФВ. Так как последовательный CAN-порт является стандартным устройством, имеющим жёстко заданные в соответствии со спецификацией параметры работы, то по функциональным возможностям базовое устройство и новое устройство не должны отличаться. Это означает, что коэффициент изменения функциональных возможностей КФВ=1.
7.7 Анализ соответствия новой конструкции нормативам
Для оценки соответствия нормам новой конструкции используется единичный показатель. Учитывая, что при проектировании устройства разработчик строго придерживается спецификации создаваемого устройства, а также в составе контроллера последовательного CAN-порта используются стандартные технические компоненты и типовые технологические процессы, применённые при его производстве, то можно сделать вывод, что разрабатываемое устройство соответствует стандартам и нормам, поэтому все единичные показатели равны единице, а значит, групповой параметр Кн равен единице.
Таким образом, новый товар с точки зрения соответствия нормативам может поступать на рынок.
7.8 Расчёт годовых издержек на электроэнергию потребителя последовательного CAN-порта в условиях эксплуатации
Текущие расходы потребителя, которые непосредственно связаны с эксплуатацией последовательного CAN-порта, заключаются в затратах на электроэнергию. Разрабатываемое устройство в процессе эксплуатации не требует каких-либо затрат на дополнительное облуживание. Рассчитаем годовые затраты на электроэнергию.
(5)
где р - потребляемая мощность, кВт ;
Физ - число часов работы РЭА за год;
Сэ - стоимость 1-го кВт*ч, р.
Uэ.н.= 1,8*(10^-6)*2.20*8000=0,03 р.,
Uэ.к.= 2*(10^-6)*2.20*8000=0,04 р.,
Число часов работы принимаем для каждого последовательного CAN-порта Физ=8000 ч., цена 1 кВт-ч Сэ=2.20 р. Мощность потребляемая от сети товаром-конкурентом Р=0,002 Вт и новым товаром Р=0,0018 Вт. Результаты расчёта представлены в таблице 9.
Таблица 9 - Расчёт годовых эксплуатационных издержек потребителя последовательного CAN-порта
Наименование расходов |
Сумма, р. |
||
Товар-конкурент |
Новый товар |
||
Расходы на потребляемую электроэнергию |
0,04 |
0,03 |
|
Всего |
0,04 |
0,03 |
Проведённый расчёт годовых издержек показывает, что разработанная модель последовательного CAN-порта хоть и очень незначительно, но экономичнее товара-конкурента.
7.9 Расчёт полезного эффекта последовательного CAN-порта в эксплуатации
Полезный эффект (Эп) новой конструкции последовательного CAN-порта в эксплуатации представляет собой стоимостную оценку изменения потребительских свойств, оказывающих влияние на показатели технической прогрессивности и долговечности применяемого изделия.
(6)
где Цб - цена базового изделия;
Кэк - коэффициент эквивалентности;
Кд - коэффициент учета изменения срока службы нового изделия по сравнению с базовым:
(7)
где Т1 и Т2 - сроки службы базовой и новой РЭА равные 2 годам;
Ен - поправочный коэффициент равный 0,15 ,
Кд =1, ДИ - изменение текущих издержек потребителя
Изменение текущих издержек потребителя равно ДИ =0,01.
Полезный эффект:
(8)
7.10 Образование цены нового последовательного CAN-порта
Для определения оптовой цены нового изделия воспользуемся методом удельных затрат на основе коэффициента эквивалентности. Расчёт себестоимости покупных изделий производился ранее и приведён в таблице 4. Результат расчёта полной себестоимости по статьям расходов и оптовой цены приведён в таблице 10.
Таблица 10 - Расчёт оптовой цены РЭА
Наименование расходов |
Удельный вес, % |
Сумма, р. |
|
Основные материалы |
8 |
62,16 |
|
Покупные изделия и полуфабрикаты |
64 |
497,32 |
|
Зарплата производственных рабочих |
10 |
77,7 |
|
Общепроизводственные расходы |
9 |
69,93 |
|
Общехозяйственные расходы |
5 |
38,85 |
|
Прочие производственные расходы |
2 |
15,54 |
|
Производственная себестоимость |
98 |
761,46 |
|
Внепроизводственные расходы |
2 |
15,54 |
|
Полная себестоимость |
100.0 |
777 |
|
Прибыль (20%) |
- |
155,4 |
|
Оптовая цена |
- |
932,4 |
Для выбора стратегии ценообразования необходимо рассчитать нижний и верхний пределы цены нового последовательного CAN-порта. Верхний предел цены устанавливается на основе стоимостной оценки улучшения потребительских свойств товара.
(9)
где Эп - полезный эффект от применения нового товара, р;
Кэ - поправочный коэффициент.
Верхний предел цены равен 983 р. Нижний предел цены на новый товар берется исходя из полной себестоимости изделия и уровня рентабельности, который устанавливается предприятием изготовителем.
(10)
где Сп - полная себестоимость изделия, р;
Ур - уровень рентабельности.
Нижний предел равен 777 р. Таким образом между нижней и верхней границей существует “поле игры”. В нашем случае верхний предел сильно отличается от нижнего. “Поле игры” довольно широко, и в этом случае выход на рынок не должен вызвать особого риска.
Для установления продажной цены необходимо учитывать факторы, приведенные в таблице 11.
Таблица 11 - Основные соображения при назначении цены на новый товар
Показатель |
Значение показателя |
|
1 Полная себестоимость нового товара, р. |
777 |
|
2 Нижний предел цены нового товара, р. |
777 |
|
3 Верхний предел цены нового товара, р. |
983 |
|
4 Цена товара-конкурента, р. |
973 |
|
5 Прогнозный запрос покупателя в новом товаре на конкретном рынке, шт. |
1000 |
|
6 Продажная цена на новый товар, р. |
950 |
Целесообразно выбрать политику “защиты позиций на рынке” для ценообразования на новый последовательный CAN-порт. Цена на новый последовательный CAN-порт устанавливается в зависимости от технического уровня и других качественных характеристик, объёма и качества сервиса, рекламы, цен на товары-конкуренты. Цена, установленная для нового CAN-порта размером в 950 рублей, означает превосходство над товаром-конкурентом по издержкам. Оно выражается в меньшей себестоимости продукта.
7.11 Образование цены потребления и установление коммерческой конкурентоспособности
Одной из главных характеристик нового товара является цена потребления. Наиболее конкурентоспособен на рынке тот товар, у которого ниже цена потребления, а не продажная цена.
(11)
где Ц - продажная цена;
И - годовые эксплуатационные издержки потребителя;
Тн - нормативный срок эксплуатации в годах.
Цптк = 973+ 0,04 2 = 973,08 р.,
Цпн = 950 + 0,03 2 = 950,06 р.,
Расчет цены потребления для товара-конкурента и нового товара приведен в таблице 12.
Таблица 12 - Расчет цены потребления
Наименование затрат |
Сумма |
||
Товар-конкурент |
Новый товар |
||
1 Продажная цена, р. |
973 |
950 |
|
2 Годовые эксплуатационные издержки потребителя, р. |
0,04 |
0,03 |
|
3 Нормативный срок эксплуатации новой РЭА в годах |
2 |
2 |
|
Цена потребления |
973,04 |
950,03 |
Относительным параметром конкурентоспособности является коэффициент цены потребления.
(12)
Кц =0,98
Новый товар имеет более низкую цену потребления, значит он более конкурентоспособен, чем товар-конкурент.
7.12 Обоснование уровня качества нового товара
Уровень качества нового товара определяет его конкурентоспособность.
Для оценки конкурентоспособности последовательного CAN-порта по отношению к товару-конкуренту воспользуемся интегральным коэффициентом (Кин). Интегральный коэффициент конкурентоспособности товара представляет собой численную характеристику и является отношением группового показателя по техническим, функциональным, нормативным параметрам к групповому коммерческому показателю.
(13)
Кин = 1,12
Cледовательно, новый последовательный CAN-порт обладает более высокой конкурентоспособностью.
Сделаем окончательные выводы об уровне новизны разрабатываемой конструкции последовательного порта.
Итоги проделанных расчетов представлены в таблице 13.
Таблица 13 - Показатели рыночного уровня новизны разрабатываемой конструкции последовательного порта
Показатели |
Товар |
||
Конкурент |
Новый |
||
1 Технические |
|||
1.1 Поддерживаемые стандарты |
CAN 2.0A и 2.0B |
CAN 2.0A и 2.0B |
|
1.2 Рабочая частота |
16 МГц |
16 МГц |
|
1.3 Отладочные интерфейсы |
JTAG |
JTAG |
|
2 Экономические |
|||
2.1 Продажная цена, р. |
973 |
950 |
|
2.2 Годовые издержки потребителя, р. |
0,04 |
0,03 |
|
2.3 Полезный эффект, р. |
- |
97,31 |
|
2.4 Цена потребления, р. |
973,04 |
950,03 |
|
2.5 Интегральный коэффициент конкурентоспособности |
1 |
1,12 |
По результатам технико-экономического анализа можно сделать вывод, что новый последовательный порт не превосходит старую модель по техническим параметрам, а превосходит по экономическим показателям, следствием чего является его коммерческая конкурентоспособность.
Реализовывать товар необходимо сразу же после производства первой партии, иначе можно проиграть время, и тем самым быть вытесненным с рынка. В дальнейшем, анализируя темпы продаж необходимо сделать заключение о перспективности увеличения объема производства и в случае положительного результата не дожидаясь полного сбыта приступить к выпуску новой партии. Если товар будет иметь успех у покупателя, то наращивать производство по мере поступления заказов.
Для сбыта продукции нужно создать специальные дилерские сети, с соответствующими скидками на различные объемы покупок (от 1% до 3%).
Производственный анализ является неотъемлемой частью любой разработки, представляемой непосредственному заказчику, поэтому корректность результатов имеет особое значение и говорит об успехе своего коллектива разработчиков.
8. Безопасность и экологичность
8.1 Анализ опасных и вредных факторов
Разработка полупроводниковых систем на кристалле (КМОП СБИС) на предприятии производится в лабораториях с использованием вспомогательных инструментов. К этим инструментам относятся ЭВМ (серверы и рабочие станции), специальное программное обеспечение (САПР, ОС, офисные приложения, интернет-броузеры) и периферийное оборудование (принтеры, плоттеры, сканеры, микроскопы, программаторы и пр.). Также в лаборатории организовано искусственное освещение, система кондиционирования и вентиляции воздуха, компьютерная и электрическая сети. Рабочее место инженера оборудовано ЭВМ с двумя устройствами отображения (мониторы), устройствами ввода (клавиатура, мышь) и источником бесперебойного питания.
При выполнении инженером своих рабочих обязанностей (включение/выключение спецоборудования, манипуляция органами управления, наблюдение за изображением на устройствах отображения) он подвергается различного рода воздействиям:
- электромагнитное излучение;
- электрическая опасность;
- шумовое воздействие;
- механическое воздействие на суставы рук;
- практически неподвижный (сидячий) рабочий процесс;
- обедненный отрицательными и положительными ионами кислорода воздух;
- недостаточность/чрезмерность искусственного освещения.
Электромагнитное излучение создают устройства отображения (рентгеновское), тактовый генератор ЭВМ (СВЧ), беспроводные компьютерные сети (УВЧ). Электрическая опасность может проявляться в поражении электрическим током при недостаточном заземлении электрооборудования, наличии открытых токоведущих частей, нарушении изоляции проводов, внутренней неисправности оборудования, а также при использовании электроприборов не по назначению (человеческий фактор). Шумовое воздействие оказывает система воздушного охлаждения ЭВМ, работающее периферийное оборудование разного рода, система кондиционирования воздуха, манипуляции с клавиатурой. Механическое воздействие на суставы рук оказывают манипуляции с клавиатурой и мышью.
Неподвижный рабочий процесс в сидячем положении приводит к нарушению кровообращения и даже застою крови в мелких сосудах и капиллярах. Отфильтрованный и прошедший через систему кондиционирования воздух обеднен ионами кислорода, что отрицательно сказывается на работоспособности и обогащении клеток мозга кислородом. Искусственное освещение не привычно для человеческого глаза даже в нормальных количествах, это оказывает влияние на органы зрения. Также на органы зрения оказывает влияние наблюдение за изображением на мониторах, что заставляет держать глазные мышцы в постоянном напряжении.
8.2 Факторы воздействия на инженера
Так как факторов воздействия на человека на данном рабочем месте множество, рассмотрим лишь несколько, на мой взгляд, самых важных и актуальных из них. Эти факторы перечислены в предыдущем подразделе и ниже приведено их подробное описание.
Одним из важнейших факторов, оказывающих негативное воздействие на нервную систему человека, являются акустические и вибрационные воздействия. Накапливаясь в организме, акустические раздражения приводят к усталости, повышенному кровяному давлению, сонливости, нервозности и другим более серьезным последствиям.
Действие шума на организм человека не ограничивается воздействием на орган слуха. Повышенный шум влияет на нервную и сердечнососудистую системы, репродуктивную функцию человека, вызывает раздражение, нарушение сна, утомление, агрессивность, способствует психическим заболеваниям. Воздействие на вегетативную нервную систему проявляется даже при небольших уровнях звука (40 - 70 дБА).
Шумовое воздействие не только приводит к головной боли и быстрой утомляемости, снижает умственную активность, но и вызывает тяжелые нервные и сердечно-сосудистые заболевания. Большая часть сердечно-сосудистых заболеваний, которые приводят к преждевременному летальному исходу, вызвана именно воздействием шума. При этом привыкание к шуму и ослабление его негативного воздействия невозможно. Изменения в нервной системе приводят к патологической перестройке тканей. Это вызывает различные заболевания и неврозы.
Механическое воздействие на суставы рук возникает при работе с устройствами ввода. При вводе символов с клавиатуры и при манипулировании мышью оказывается воздействие на межсуставные прокладки (хрящи) пальцев рук и кистелучевого соединения. Также постоянно напряженное положение рук в одной позе влияет на локтевые и плечевые суставы. При манипулировании мышью часть ладони постоянно находится на твердой поверхности стола, что приводит к артриту костей ладони.
Наиболее распространен кистевой туннельный синдром, при котором нервы руки повреждаются вследствие частой и длительной работы на компьютере. В наиболее тяжелой форме этот синдром проявляется в виде мучительных болей, лишающих человека трудоспособности.
Малоподвижность является только негативным фактором в жизнедеятельности любого человека - она несет в себе угрозу возникновения различных заболеваний. Когда человек проводит большую часть своей повседневной жизни в сидячем положении, то начинают страдать его венозная и лимфатическая система.
Дело в том, что для нормального процесса циркуляции лимфы совершенно необходима нагрузка мышц нижних конечностей - через нее осуществляется возврат лимфы в кровь. При малой подвижности лимфа скапливается в тканях, вот почему, например, к концу рабочего дня, у человека появляются отеки и онемение в ногах. Самое неприятное то, что этот процесс влечет за собой потерю эластичности тканей, в результате изо дня в день в тканях будет накапливаться все больше и больше жидкости, кожа будет растягиваться, отеки и болезненные ощущения в ногах будут все больше.
Нарушению лимфатической циркуляции при сидячем образе жизни обязательно сопутствует нарушение кровообращения - кровь застаивается в органах таза и нижних конечностях, нарушается отток венозной крови в сторону сердца, что приводит к растяжению стенок сосудов и разрушению капилляров, а это неизбежно приводит к возникновению различных венозных заболеваний. При этом некоторые заболевания легко напоминают о себе, такие как геморрой, и довольно эффективно лечатся. А вот патологические изменения происходящие внутри - значительно опаснее.
При сидячем образе жизни кроме нижней части тела страдает и верхняя: позвоночник и грудной отдел. Позвоночник находится в искривленном состоянии, в результате развивается сколиоз и регулярные боли в спине после рабочего дня.
Также, от длительного сидения нарушается и вентиляция легких - в сидячем положении за компьютерным столом грудная клетка сдавлена, дыхание неполноценно, организм страдает от нехватки кислорода, а это в свою очередь, ведет к появлению головной боли к концу рабочего дня, бледности и общему ухудшению самочувствия.
Недостаток содержания легких (отрицательных) аэроионов в помещениях с персональными компьютерами приводит к выраженному негативному эффекту. Субъективно недостаток легких (отрицательных) аэроионов во вдыхаемом воздухе выражается в ощущении не свежести воздуха и нехватки кислорода. Наибольшее число жалоб, предъявляемых в условиях аэроионной недостаточности: неудовлетворительное самочувствие, повышенная утомляемость, частые головные боли, неврозы, повышенное давление. Также негативно сказывается преобладание положительных аэроионов, которое может приводить к ухудшению самочувствия людей, бессоннице, утомлению, снижению работоспособности.
Освещение воздействует на организм человека и выполнение производственных заданий. Правильное освещение уменьшает количество несчастных случаев, повышает производительность труда. Исследования показывают, что при хорошем освещении производительность труда повышается примерно на 15%. Неправильное освещение наносит вред зрению работающих, может быть причиной таких заболеваний как близорукость, спазм, аккомодация, зрительное утомление и других болезней, понижает умственную и физическую работоспособность, увеличивает число ошибок в производственных процессах, аварий и несчастных случаев.
Стандартом ГОСТ 12.1.003-83 обозначены предельные уровни звука в зависимости от категории тяжести и напряженности труда, являющиеся безопасными в отношении сохранения здоровья и работоспособности. Исходя из этих данных, приходим к выводу, что уровень шума на рабочем месте программистов и инженеров при работе с ЭВМ не должен превышать 50дБА, а в залах обработки информации на вычислительных машинах - 65дБА.
Для компьютерных устройств ввода (клавиатура и мышь) в настоящее время не имеется общепринятых и широко распространенных стандартов. В тоже время многие производители данного оборудования, рекламируя свою продукцию, описывают различные конструктивные решения, повышающие эргономичность ее использования: клавиатура с возможностью регулирования расположение клавиш, мышь с формой, уменьшающей усталость кисти при длительной работе. Хотя некоторые из них стоит рассматривать только как броскую рекламу, многие модели действительно являются своеобразным технологическим скачком вперед с точки зрения безопасности работы за компьютером.
Проектирование устройства с применением САПР относится к работе в режиме диалога с радиоэлектронным оборудованием (РЭО). При таком режиме работы на предприятии, согласно нормативным документам, регламентированы перерывы через 1,5-2 часа от начала смены и через 1,5-2 часа после обеденного перерыва продолжительностью 20 минут каждый или продолжительностью 15 минут через каждый час работы. Суммарное время работы с видеотерминалами не должно превышать 6 часов в смену. Продолжительность непрерывной работы без регламентируемого перерыва не должна превышать 2 часов.
Согласно СНиП № 2152-80 представлены следующие нормы на содержание отрицательных аэроионов в воздухе производственных и общественных помещений:
необходимый минимум - 600 ионов/см3 оптимальный уровень - 3000-5000 ионов/см3.
Согласно СНиП II 4-79 в лабораториях, подобных нашей, необходимо применить систему комбинированного освещения.
Требования к освещенности в помещениях, где установлены компьютеры, следующие: при выполнении зрительных работ высокой точности общая освещенность должна составлять 300лк, а комбинированная - 750лк; аналогичные требования при выполнении работ средней точности - 200 и 300лк соответственно.
8.3 Методы защиты
Строительно-акустические методы защиты от шума предусмотрены строительными нормами и правилами (СНиП-II-12-77) это:
- звукоизоляция ограждающих конструкции, уплотнение по периметру притворов окон и дверей;
- звукопоглощающие конструкции;
- звукопоглощающие облицовки.
На рабочем месте инженера источниками шума, как правило, являются технические средства, как компьютер, принтер, система кондиционирования и вентиляции, клавиатура, а также внешний шум. Они издают довольно незначительный шум, поэтому в помещении достаточно использовать звукопоглощение. Уменьшение шума, проникающего в помещение извне, достигается уплотнением по периметру притворов окон и дверей. Под звукопоглощением понимают свойство акустически обработанных поверхностей уменьшать интенсивность отраженных ими волн за счет преобразования звуковой энергии в тепловую. Звукопоглощение является достаточно эффективным мероприятием по уменьшению шума. Наиболее выраженными звукопоглощающими свойствами обладают волокнисто-пористые материалы: фибролитовые плиты, стекловолокно, минеральная вата, полиуретановый поропласт, пористый поливинилхлорид и др. К звукопоглощающим материалам относятся лишь те, коэффициент звукопоглощения которых не ниже 0.2.
Звукопоглощающие облицовки из указанных материалов (например, маты из супертонкого стекловолокна с оболочкой из стеклоткани нужно разместить на потолке и верхних частях стен). Максимальное звукопоглощение будет достигнуто при облицовке не менее 60% общей площади ограждающих поверхностей помещения. Немаловажным для снижения шума в процессе эксплуатации является вопрос правильной и своевременной регулировки, смазывания и замены механических узлов шумящего оборудования.
Системы отопления и системы кондиционирования следует устанавливать так, чтобы ни теплый, ни холодный воздух не направлялся на людей.
При печати важно держать локти параллельно поверхности стола и под прямым углом к плечу, поэтому клавиатура должна располагаться в 10-15 см (в зависимости от длины локтя) от края стола. В этом случае нагрузка приходится не на кисть, в которой вены и сухожилия находятся близко к поверхности кожи, а на более "мясистую" часть локтя. Снизить негативное воздействие на суставы рук можно путем применения эргономично построенных устройств ввода и использованием специальных подстилающих поверхностей рабочего стола. Также смягчить воздействие можно с помощью регулярной гимнастики и разминки кистей и локтевых суставов.
В качестве защиты от негативных последствий сидячего рабочего положения стоит проводить регламентированные перерывы в работе как можно подвижнее, предпочитать ежечасные 15-ти минутные перерывы 20-ти минутным через 1,5-2 часа. В ходе данных перерывов производить гимнастику спины, шеи, рук, а также размять мышцы, не задействованные при сидячем положении. В нерабочее время тоже не стоит забывать о том, что сидячее положение является преобладающим в жизни современного человека. Стоит, при возможности, больше стоять или лежать, т.к. сидячая поза наиболее неестественна для человеческого организма. Не помешают умеренные занятия спортом (бег, плавание, легкая атлетика) и регулярные пешие прогулки длительностью не менее 30 мин в день.
Для поддержания оптимального количества отрицательных и положительных аэроионов в воздухе стоит применять искусственные ионизаторы воздуха (т.н. люстры Чижевского в различных конструкторских исполнениях) и чаще проводить влажную уборку помещений. Не помешает также замена ЭЛТ-мониторов на ЖК, строго отведенные места для курения и т.п.
Существуют определённые требования к производственным помещениям. Окраска помещений и мебели должна способствовать созданию благоприятных условий для зрительного восприятия, хорошего настроения. Отражение, включая отражения от вторичных источников света, должно быть сведено к минимуму. В помещениях, где находится компьютер, необходимо обеспечить следующие величины коэффициента отражения: для потолка: 60…70%, для стен: 40…50%, для пола: около 30%. Для других поверхностей и рабочей мебели: 30…40%.
Кроме того все поле зрения должно быть освещено достаточно равномерно - это основное гигиеническое требование. Иными словами, степень освещения помещения и яркость экрана компьютера должны быть примерно одинаковыми, т.к. яркий свет в районе периферийного зрения значительно увеличивает напряженность глаз и, как следствие, приводит к их быстрой утомляемости.
8.4 Расчет шума на рабочем месте
Шум на рабочем месте инженера создается различными устройствами. Рассмотрим следующие из них: НЖМД ПК, корпусные вентиляторы ПК, вентилятор БП ПК, вентилятор охлаждения ЦП ПК, клавиатура ПК, принтер, система кондиционирования воздуха.
Для решения вопросов о необходимости и целесообразности снижения шума необходимо знать уровни шума на рабочем месте инженера.
Уровень шума, возникающий от нескольких некогерентных источников, работающих одновременно, подсчитывается на основании принципа энергетического суммирования излучений отдельных источников.
(14)
где Li - уровень звукового давления i-го источника;
n - количество источников шума.
Полученные результаты расчета сравнивается с допустимым значением уровня шума для данного рабочего места. Если результаты расчета выше допустимого значения уровня шума, то необходимы специальные меры по снижению шума, описанные в предыдущем подразделе.
Уровни звукового давления источников шума, действующих на инженера на его рабочем месте, представлены в таблице 14. Для расчетов взяты максимальные уровни звукового давления устройств.
Таблица 14 - Уровни звукового давления различных источников
Источник шума |
Уровень шума, дБ |
|
Жесткий диск |
17 |
|
Вентилятор охлаждения ЦП |
34 |
|
Вентилятор охлаждения корпуса |
22 |
|
Вентилятор охлаждения БП |
22 |
|
Клавиатура |
27 |
|
Принтер |
50 |
|
Кондиционер |
34 |
Подставив значения уровня звукового давления для каждого вида оборудования в формулу (15), получим:
L?=10·lg(101,7+2*103,4+4*102,2+102,7+105)=50 дБ (15)
Полученное значение не превышает допустимый уровень шума для рабочего места оператора, равный 65 дБ (ГОСТ 12.1.003-83) и является максимальным для данного рабочего места. И если учесть, что вряд ли все устройства будут работать в режиме полной нагрузки одновременно, то эта цифра будет еще ниже. Кроме того, при работе принтера непосредственное присутствие оператора необязательно, т.к. принтер снабжен механизмом автоподачи листов, а кондиционер расположен на значительном удалении от рабочего места.
8.5 Пожаробезопасность
Организация ФГУП НИИЭТ принадлежит к пожароопасному предприятию. По причине повышенной пожарной опасности на территории и в помещения установлен строгий противопожарный режим. Запрещено курение на территории и необорудованных помещениях предприятия. Курить разрешается только в строго отведённых местах.
На каждом участке присутствуют инструкции по противопожарной безопасности, в которых перечислены производственные установки с повышенной опасностью, меры по предотвращению пожаров и возгораний, действий рабочих при возникновении возгорания, места расположения электровыключателей, кранов газоснабжения, средства пожаротушения (огнетушители, пожарные краны, автоматические средства) и пользование ими, а также планы эвакуации.
Всем помещениям присвоена соответствующая категория по пожарной безопасности в соответствии с НПБ 105-03, таблички с указанием категории по взрывоопасной и пожарной опасности вывешены у входа. На видных местах вывешены планы (схемы) эвакуации людей в случае пожара.
Предприятие оборудовано внутренним противопожарным водопроводом. Пожарные краны с рукавами и наконечником расположены на лестничных клетках и производственных этажах.
На предприятии применяются углекислотные огнетушители. Огнетушители углекислотные ОУ-2 (двухлитровые), ОУ-5 (пятилитровые), ОУ-8 (восьмилитровые), возимые ОУ-25 (однобаллонные на колёсах) и ОУ-80 (двухбаллонные установки на колёсах).
Эвакуация людей производится при пожаре на территории отдела или при угрозе задымления в ниже расположенных помещениях. Команды на эвакуацию людей могут быть переданы по средствам связи - радио, диспетчерской связи и голосом.
8.6 Экологичность
Проектирование микропроцессорных (КМОП СБИС) систем на кристалле с помощью САПР на предприятии ФГУП НИИЭТ не относится к работам, оказывающим экологическое влияние на окружающую среду. Влияние оказывается лишь косвенное и локальное (вокруг рабочего места инженера). К нему мы можем отнести обеднение воздуха аэроионами кислорода и потребление электроэнергии. Меры по решению этих проблем описаны выше.
Заключение
В ходе проекта получены, согласно техническим условиям, структурные схемы последовательного CAN-порта и механизма обнаружения ошибок, принципиальные схемы блоков механизма обнаружения ошибок. Проведено их моделирование и тестирование на работоспособность и правильность выполнения заданных алгоритмов. Произведен расчет рыночной эффективности и экологичность продукта.
Поставленная задача выполнена в полной мере в соответствии с техническими условиями и международной спецификацией CAN 2.0A 2.0B[4]. Проверена работоспособность синтезированных схем в условиях поставленной задачи (частота 16 МГц, напряжение питания от 3,3 В, реализация по 0,35 мкм КМОП технологии). Проект полностью готов к дальнейшим завершающим тестам и изготовлению на его основе фотошаблонов для отправки на кремниевую фабрику.
Разработанную часть порта рекомендуется интегрировать в современные специализированные микроконтроллеры различной архитектуры, где требуется надежная передача данных. А область применения таких контроллеров очень обширна - начиная с автомобилей и самолетов до систем охранных сигнализаций и цехов крупных заводов.
Список литературы
1. Третьяков C.А. CAN - локальная сеть контоллеров //Электроника -1998. - № 9. - С. 10 - 12.
2 Лапин А. Интерфейс CAN. Слагаемые успеха //Электроника: наука, технология, бизнес - 2005. - № 2. - С. 40 - 43.
3 ISO 11898-2:2003. Road vehicles -- Controller area network (CAN). -TC 22/SC 3, 2003. - 26 с.
4 CAN Specification Version 2.0. Stuttgart. Robert Bosch GmbH; Stuttgart 1, 1991. - 72 с.
5 Модуль CAN в микроконтроллерах PIC18CXX8. Москва. ООО “Микро-Чип”; Москва, 2001. - 52 с.
6 Ross N. Williams. Элементарное руководство по CRC-алгоритмам обнаружения ошибок / Ross N. Williams - Rocksoft Pty Ltd., 1993. - 36 c.
7 IEEE Std 1364-2001. IEEE Standard Verilog® Hardware Description Language, (Revision of IEEE Std 1364-1995), 2001. - 791 с.
8 Методические указания по выполнению организационно-экономических расчётов и обоснований в дипломных проектах. Воронеж. Гос. Тех. Ун-т; Сост. В.И. Попов, М.А. Гремяченская. Воронеж, 2005. - 45 с.
9 СТО 10600824.011 - 2007. Микросхемы интегральные. Порядок разработки полностью заказных ИС и ИС на стандартных элементах на этапе эскизно-технического проектирования. - ФГУП НИИЭТ, 2007. - 31 с.
ПРИЛОЖЕНИЕ А
(обязательное)
Verilog-описание механизма сигнализации ошибок
Листинг 1 - Генератор CRC-последовательности
порт процессор verilog
`timescale 1ns/10ps
module can_crc (rx_crc_frm,serial_in,rx_crc_enable,rx_crc_intl,tx_success,rx_success,clk,g_rst);
output [14:0] rx_crc_frm;
input serial_in;
input rx_crc_enable;
input rx_crc_intl;
input tx_success;
input rx_success;
input clk;
input g_rst;
reg [14:0] rx_crc_frm;
wire [14:0] crc_tmp;
wire crc_next;
assign crc_next = serial_in ^ rx_crc_frm[14];
assign crc_tmp = {rx_crc_frm[13:0], 1'b0};
always @ (posedge clk or posedge g_rst)
if(g_rst) rx_crc_frm <= 15'h0;
else if (tx_success || rx_success) rx_crc_frm <= 15'h0;
else if (rx_crc_intl) rx_crc_frm <= 15'h0;
else if (rx_crc_enable)
begin
if (crc_next) rx_crc_frm <= crc_tmp ^ 15'h4599;
else rx_crc_frm <= crc_tmp;
end
endmodule
Листинг 2 - Блок проверки CRC-последовательности
`timescale 1ns/10ps
module crc_checker (crc_err,rcvd_crc,rx_crc_frm,rx_success,act_err_frm_tx,psv_err_frm_tx,rcvd_crc_flg,clk,g_rst);
output crc_err;
input [14:0] rcvd_crc;
input [14:0] rx_crc_frm;
input rx_success;
input act_err_frm_tx;
input psv_err_frm_tx;
input rcvd_crc_flg;
input clk;
input g_rst;
reg crc_err;
always @ (posedge clk or posedge g_rst)
if (g_rst)crc_err <= 1'b0;
else if (rx_success || act_err_frm_tx || psv_err_frm_tx) crc_err <= 1'b0;
else if (rcvd_crc_flg)
begin
if (rcvd_crc != rx_crc_frm) crc_err <= 1'b1;
else crc_err <= 1'b0;
end
else crc_err <= 1'b0;
endmodule
Листинг 3 - Блок слежения за битовым заполнением
`timescale 1ns/10ps
module bit_stuff_monitor(stf_err,one_count,zero_count,serial_in,arbtr_fld,clk,g_rst);
output stf_err;
input [2:0] one_count;
input [2:0] zero_count;
input serial_in;
input arbtr_fld;
input clk;
input g_rst;
reg stf_err;
always @ (posedge clk or posedge g_rst)
if (g_rst) stf_err <= 1'b0;
else if (arbtr_fld) stf_err <= 1'b0;
else if (one_count == 3'd5)
begin
if (serial_in) stf_err <= 1'b1;
else stf_err <= 1'b0;
end
else if (zero_count == 3'd5)
begin
if (~serial_in) stf_err <= 1'b1;
else stf_err <= 1'b0;
end
else stf_err <= 1'b0;
endmodule
Листинг 4 - Блок проверки формата сообщения
`timescale 1ns/10ps
module form_checker (frm_err,rcvd_bt_cnt,rcvd_data_len,rx_success,act_err_frm_tx,psv_err_frm_tx,serial_in,clk,g_rst);
output frm_err;
input [6:0] rcvd_bt_cnt;
input [6:0] rcvd_data_len;
input rx_success;
input act_err_frm_tx;
input psv_err_frm_tx;
input serial_in;
input clk;
input g_rst;
reg frm_err;
always @ (posedge clk or posedge g_rst)
if (g_rst) frm_err <= 1'b0;
else if (rx_success || act_err_frm_tx || psv_err_frm_tx) frm_err <= 1'b0;
else if ((rcvd_bt_cnt == (7'd20 + rcvd_data_len + 7'd14 + 7'd1))||(rcvd_bt_cnt == (7'd20+ rcvd_data_len + 7'd14 + 7'd3))||((rcvd_bt_cnt >= (7'd20 + rcvd_data_len + 7'd14 + 7'd4))&&(rcvd_bt_cnt <= (7'd20 + rcvd_data_len + 7'd14 +7'd10))))
begin
if (~serial_in) frm_err <= 1'b1;
else frm_err <= 1'b0;
end
else frm_err <= 1'b0;
endmodule
Листинг 5 - Блок слежения за потоком битов
`timescale 1ns/10ps
module bit_monitor(bt_err,can_bus_out,sampled_bit,dt_rm_frm_tx,act_err_flg_tx,psv_err_flg_tx,ovld_flg_tx,cons_zero_flg,ovld_err_ifs_tx,tx_success,arbtr_fld,arbtr_sts,ack_slt,ifs_flg_tx,clk,g_rst);
output bt_err;
input can_bus_out;
input sampled_bit;
input dt_rm_frm_tx;
input act_err_flg_tx;
input psv_err_flg_tx;
input ovld_flg_tx;
input cons_zero_flg;
input ovld_err_ifs_tx;
input tx_success;
input arbtr_fld;
input arbtr_sts;
input ack_slt;
input ifs_flg_tx;
input clk;
input g_rst;
reg bt_err;
reg arbtr_sts_en;
always @ (posedge clk or posedge g_rst)
if (g_rst) arbtr_sts_en <= 1'b0;
else if (arbtr_sts) arbtr_sts_en <= 1'b1;
else arbtr_sts_en <= 1'b0;
always @ (posedge clk or posedge g_rst)
if (g_rst) bt_err <= 1'b0;
else if((can_bus_out == sampled_bit) || (arbtr_sts_en && (arbtr_fld|| ack_slt || ifs_flg_tx) && can_bus_out && (~sampled_bit))|| (psv_err_flg_tx && can_bus_out && (~sampled_bit))||
(cons_zero_flg && can_bus_out && (~sampled_bit))||(ovld_err_ifs_tx && can_bus_out && (~sampled_bit))) bt_err <= 1'b0;
else if ((dt_rm_frm_tx && arbtr_sts_en && (~(arbtr_fld || ack_slt ||ifs_flg_tx)) && (can_bus_out != sampled_bit))||((~can_bus_out) && (sampled_bit) && (ovld_flg_tx||act_err_flg_tx))) bt_err <= 1'b1;
else bt_err <= 1'b0;
endmodule
Листинг 6 - Блок проверки подтверждения приема
`timescale 1ns/10ps
module ack_checker(ack_err,ack_slt,act_err_frm_tx,psv_err_frm_tx,arbtr_sts,tx_success,sampled_bit,clk,g_rst);
output ack_err;
input ack_slt;
input act_err_frm_tx;
input psv_err_frm_tx;
input arbtr_sts;
input tx_success;
input sampled_bit;
input clk;
input g_rst;
reg ack_err;
always @ (posedge clk or posedge g_rst)
if (g_rst) ack_err <= 1'b0;
else if (tx_success || act_err_frm_tx || psv_err_frm_tx) ack_err <= 1'b0;
else if (arbtr_sts && ack_slt && sampled_bit) ack_err <= 1'b1;
else ack_err <= 1'b0;
endmodule
ПРИЛОЖЕНИЕ Б
(обязательное)
Verilog-описание тестовой программы
`timescale 1ns/10ps
module testbench;
reg serial_in;
reg rx_crc_enable;
reg rx_crc_intl;
reg tx_success;
reg rx_success;
reg clk;
reg g_rst;
reg [14:0] rcvd_crc;
reg act_err_frm_tx;
reg psv_err_frm_tx;
reg rcvd_crc_flg;
reg [2:0] one_count;
reg [2:0] zero_count;
reg arbtr_fld;
reg [6:0] rcvd_bt_cnt;
reg [6:0] rcvd_data_len;
reg can_bus_out;
reg dt_rm_frm_tx;
reg act_err_flg_tx;
reg psv_err_flg_tx;
reg ovld_flg_tx;
reg cons_zero_flg;
reg ovld_err_ifs_tx;
reg arbtr_sts;
reg ack_slt;
reg ifs_flg_tx;
wire [14:0] rx_crc_frm;
wire crc_err;
wire stf_err;
wire frm_err;
wire bt_err;
wire ack_err;
initial
begin
serial_in=1'b1;
rx_crc_enable=1'b0;
rx_crc_intl=1'b0;
tx_success=1'b0;
rx_success=1'b0;
clk=1'b1;
g_rst=1'b1;
rcvd_crc=15'h7d85;
act_err_frm_tx=1'b0;
psv_err_frm_tx=1'b0;
rcvd_crc_flg=1'b0;
one_count=3'd4;
zero_count=3'd4;
arbtr_fld=1'b0;
rcvd_bt_cnt=7'd52;
rcvd_data_len=7'd46;
dt_rm_frm_tx=1'b0;
act_err_flg_tx=1'b0;
psv_err_flg_tx=1'b0;
ovld_flg_tx=1'b0;
cons_zero_flg=1'b0;
ovld_err_ifs_tx=1'b0;
arbtr_sts=1'b0;
ack_slt=1'b0;
ifs_flg_tx=1'b0;
can_bus_out=serial_in;
#20
g_rst=1'b0;
#50;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
arbtr_fld=1'b1;
dt_rm_frm_tx=1'b1;
#10;
serial_in=1'b1; //id
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b0; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
#10
rx_crc_intl=1'b0;
#60
dt_rm_frm_tx=1'b0;
tx_success=1'b1;
#180;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
dt_rm_frm_tx=1'b1;
arbtr_fld=1'b1;
#10;
serial_in=1'b1; //id
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
arbtr_sts=1'b1;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
ifs_flg_tx=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
ifs_flg_tx=1'b0;
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b1; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
#10;
rx_crc_intl=1'b0;
act_err_flg_tx=1'b1;
#60;
dt_rm_frm_tx=1'b0;
tx_success=1'b0;
#10;
one_count=3'd5;
#170;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
arbtr_fld=1'b1;
psv_err_flg_tx=1'b1;
dt_rm_frm_tx=1'b1;
#10;
serial_in=1'b1; //id
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
act_err_flg_tx=1'b0;
one_count=3'd4;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
cons_zero_flg=1'b1;
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
psv_err_flg_tx=1'b0;
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
cons_zero_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
rcvd_data_len=7'd17;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b0; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
#10
rx_crc_intl=1'b0;
act_err_frm_tx=1'b1;
#60;
dt_rm_frm_tx=1'b0;
#10
zero_count=3'd5;
#20;
serial_in=1'b0;
#50;
serial_in=1'b0;
#100;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
arbtr_fld=1'b1;
dt_rm_frm_tx=1'b1;
#10;
serial_in=1'b1; //id
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
psv_err_frm_tx=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
zero_count=3'd4;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
act_err_frm_tx=1'b0;
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
psv_err_frm_tx=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b1; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
rcvd_data_len=7'd46;
#10
rx_crc_intl=1'b0;
#60
dt_rm_frm_tx=1'b0;
#180;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
arbtr_fld=1'b1;
dt_rm_frm_tx=1'b1;
ovld_flg_tx=1'b1;
rcvd_data_len=7'd10;
#10;
serial_in=1'b1; //id
arbtr_sts=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b0; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
#10
rx_crc_intl=1'b0;
#60;
dt_rm_frm_tx=1'b0;
rx_success=1'b1;
#180;
rx_crc_enable=1'b1;
serial_in=1'b0; //start
arbtr_fld=1'b1;
dt_rm_frm_tx=1'b1;
ovld_err_ifs_tx=1'b1;
#10;
serial_in=1'b1; //id
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0; //id
#10;
serial_in=1'b0; //rtr
arbtr_fld=1'b0;
#10;
serial_in=1'b0; //
#10;
serial_in=1'b0; //
#10
serial_in=1'b0; //control
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1; //control
#10;
serial_in=1'b0; //data
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b1;
#10;
serial_in=1'b0;
#10;
serial_in=1'b0;
#10;
serial_in=1'b1;
#10
serial_in=1'b1; //data
#5
rx_crc_enable=1'b0;
#5
serial_in=1'b1; //crc
rcvd_crc_flg=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
rcvd_crc_flg=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b0;
#10
serial_in=1'b1;
#10
serial_in=1'b0;
#10
serial_in=1'b1; //crc
#10
serial_in=1'b1; //crc del
#10
serial_in=1'b0; //ack slot
ack_slt=1'b1;
#10
serial_in=1'b1; //ack del
ack_slt=1'b0;
rx_crc_intl=1'b1;
#10;
rx_crc_intl=1'b0;
#60;
dt_rm_frm_tx=1'b0;
#1940;
$stop;
end
always
begin
#5;
clk=~clk;
can_bus_out=~serial_in;
end
can_crc can_crc_1 (.rx_crc_frm(rx_crc_frm),.serial_in(serial_in),.rx_crc_enable(rx_crc_enable),.rx_crc_intl(rx_crc_intl),.tx_success(tx_success),.rx_success(rx_success),.clk(clk),.g_rst(g_rst));
crc_checker crc_checker_1 (.crc_err(crc_err),.rcvd_crc(rcvd_crc),.rx_crc_frm(rx_crc_frm),.rx_success(rx_success),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.rcvd_crc_flg(rcvd_crc_flg),.clk(clk),.g_rst(g_rst));
bit_stuff_monitor bit_stuff_monitor_1 (.stf_err(stf_err),.one_count(one_count),.zero_count(zero_count),.serial_in(serial_in),.arbtr_fld(arbtr_fld),.clk(clk),.g_rst(g_rst));
form_checker form_checker_1 (.frm_err(frm_err),.rcvd_bt_cnt(rcvd_bt_cnt),.rcvd_data_len(rcvd_data_len),.rx_success(rx_success),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.serial_in(serial_in),.clk(clk),.g_rst(g_rst));
bit_monitor bit_monitor_1 (.bt_err(bt_err),.can_bus_out(can_bus_out),.sampled_bit(serial_in),.dt_rm_frm_tx(dt_rm_frm_tx),.act_err_flg_tx(act_err_flg_tx),.psv_err_flg_tx(psv_err_flg_tx),.ovld_flg_tx(ovld_flg_tx),.cons_zero_flg(cons_zero_flg),.ovld_err_ifs_tx(ovld_err_ifs_tx),.arbtr_fld(arbtr_fld),.arbtr_sts(arbtr_sts),.ack_slt(ack_slt),.ifs_flg_tx(ifs_flg_tx),.clk(clk),.g_rst(g_rst));
ack_checker ack_checker_1 (.ack_err(ack_err),.ack_slt(ack_slt),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.arbtr_sts(arbtr_sts),.tx_success(tx_success),.sampled_bit(serial_in),.clk(clk),.g_rst(g_rst));
endmodule
Размещено на Allbest.ru
Подобные документы
Разработка структурной схемы процессора; синтез микропрограммного и управляющего автомата с жесткой логикой. Функциональная организация процессора: программные модели, форматы данных и команд. Организация оперативной памяти. Проектирование блока операций.
учебное пособие [1,1 M], добавлен 09.04.2013Функциональная организация процессора. Сложение с нормализацией, синтез операций, выборка команды. Описание структурной схемы процессора. Синтез управляющего автомата, разметка граф схемы. Разбиение микроопераций по полям и кодирование логических условий.
курсовая работа [91,8 K], добавлен 24.09.2010Построение схемы модели процесса и разработка анимации; определение характеристики модели с использованием AnyLogic. Сеть Петри для процесса работы порта. Описание программного продукта. Объекты библиотеки Enterprise Library. Результаты работы модели.
курсовая работа [334,1 K], добавлен 25.04.2015Разработка калькулятора на выполнение арифметических функций в 16-ой системе. Использование прерывания от последовательного порта и таймера. Применение системы "прототип" на основе микроконтроллера 51 семейства. Ввод чисел с последовательного порта.
курсовая работа [18,4 K], добавлен 23.08.2009Последовательный интерфейс для передачи данных. Синхронный и асинхронный режимы передачи данных. Формат асинхронной посылки. Постоянная активность канала связи при синхронном режиме передачи. Реализация последовательного интерфейса на физическом уровне.
реферат [106,9 K], добавлен 28.04.2010Разработка концептуальной модели системы обработки информации для узла коммутации сообщений. Построение структурной и функциональной блок-схем системы. Программирование модели на языке GPSS/PC. Анализ экономической эффективности результатов моделирования.
курсовая работа [802,8 K], добавлен 04.03.2015Разработка устройства, реализующего набор команд из числа операций с плавающей точкой семейства процессора i486. Структура сопроцессора FPU. Принцип выполнения операций, разработка блок-схемы, построение структурной схемы основных блоков процессора.
курсовая работа [734,9 K], добавлен 27.10.2010Анализ выбора цифрового сигнального процессора и структурной схемы устройства обработки информации. Расчет надежности устройства и производительности обмена данных, разработка ленточного графика. Обзор особенностей радиального и межмодульного интерфейса.
дипломная работа [1,8 M], добавлен 20.05.2012Горизонтальные и вертикальные протоколы модели OSI. Типы данных: сегмент, пакет, кадр, бит. Прикладной, транспортный, сетевой и представительский уровень: понятие, главные особенности. Основные функции сетевого адаптера и последовательного порта.
лекция [177,1 K], добавлен 15.04.2014Синтез структуры простого магистрального процессора с одним АЛУ, выполняющего 8 заданных команд. Разработка формата и кодировки команд, структурной схемы процессора, функциональные схемы всех его блоков в целом с указанием шин и управляющих сигналов.
реферат [123,9 K], добавлен 18.05.2009