Блок управления для автоматизированной системы проверки межблочного монтажа

Измерительные механизмы омметров. Разработка cтруктурной схемы. Микроконтроллер фирмы ATMEL семейства megaAVR. Анализ составляющих погрешности. Электромагнитные поля и излучения, система кондиционирования помещений. Экономическая эффективность проекта.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 19.06.2013
Размер файла 3,4 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Gnmin=30•2=60 кг/ч.

При расчете необходимо, чтобы Сn-количество наружного воздуха удовлетворяло условию Gn> Gnmin.

Для установки в помещение выберем кондиционер SAMSUNG AW07F0NEB, у которого производительность по воздуху Gk=500 кг/ч, производительность по холоду Q к=2.1 кВт.

Расчетная температура наружного воздуха tH принимается равной 28,5°С. Тогда средняя теплоемкость наружного воздуха

Сн =1,005+(l,8d)/1000 кДж/кг°С,

где d - влагосодержание воздуха, Г/кг

d=623фР/(В-фР),

где ф - относительная влажность в долях;

Р - упругость насыщенного пара при температуре tн гПа;

В - полное барометрическое давление, принимаемое равным 993 гПа.

При tH=28,5 °С Р=40,04 гПа, ф=0,6, тогда подставляя данные в уравнения

.

При имеющейся Сн температура охлаждающего воздуха должна быть не меньше tH-3600 Qk/(1,2GkСн)=28°С. Так как температура охлаждающего воздуха tk в кондиционере регулируется, то с учетом того, что перепад температур в помещении не должен быть больше 15°С, примем tk=13°C.

Температура помещения tвн должна соответствовать оптимальным параметрам микроклимата в помещении с ЭВМ, поэтому примем tвн=23°С. Тогда число кондиционеров определится как

где Ск - теплоемкость охлаждающего воздуха при t=tk Ск=9.522 кДж/кг°С.

Подставляя значения всех величин, получаем

Приведенный расчет показал, что для осуществления кондиционирования рассматриваемого помещения достаточно одного оконного кондиционера.

8.8 Психофизиологические факторы

Психофизиологические факторы, воздействующие на пользователя, приводят к его физическим и нервно-психическим перегрузкам.

При работе с ПЭВМ характерной является такая физическая перегрузка, как длительное статическое напряжение мышц. Оно обусловлено вынужденным продолжительным сидением в одной и той же позе, часто неудобной, необходимостью постоянного наблюдения за экраном (напрягаются мышцы шеи, ухудшается мозговое кровообращение), набором большого количества знаков за рабочую смену (это приводит к статическому перенапряжению мышц плечевого пояса и рук). При этом возникает также локальная динамическая перегрузка пальцев и кистей рук. Статическим перенапряжениям мышц способствуют неудовлетворительные эргономические параметры рабочего места и его, отсутствие возможности регулировки параметров рабочего стула, высоты рабочей поверхности стола, неудобное расположение клавиатуры и дисплея, отсутствие регламентированных перерывов, невыполнение специальных упражнений для снятия напряжения и расслабления мышечных групп плечевого пояса, рук, шеи, спины, улучшения кровообращения.

Нервно-психические перегрузки являются следствием информационного взаимодействия в системе «пользователь - ПЭВМ». Они обусловлены неудовлетворительными условиями зрительного восприятия изображения, несогласованностью параметров информационных технологий с психофизиологическими возможностями человека. К основным нервно-психическим перегрузкам относятся:

повышенные зрительные напряжения;

умственные и нервно-эмоциональные перегрузки;

длительная концентрация внимания;

монотонность труда (однообразие трудового процесса, повторяемость операций, отсутствие возможности переключения внимания или изменения вида работы).

Кроме того, в связи внедрением новых информационных технологий и устройств, развитием сети «Интернет» всё больше пользователей подвергается мощному информационному воздействию, часто неосознаваемому и вредному, которое влияет на работу функциональных систем организма и может приводить к ухудшению физического и психического здоровья.

Для снижения воздействия психофизиологических факторов на организм человека необходимо правильно организовать рабочее место пользователя ПЭВМ, а также применять рациональные режимы труда и отдыха.

Организация рабочих мест

Правильность организации рабочего места играет важную роль в трудовом процессе. Из-за плохой организации рабочего места может быстро наступить усталость, что сказывается на уменьшении объема выполняемых работ.

Сейчас существуют постоянные санитарные правила и нормы, регламентирующие работу за дисплеем. Согласно ГОСТ Р 50923-96, ГОСТ 12.2.032-78* и ГОСТ Р 50949-01 площадь помещения на одно рабочее место с дисплеем должна быть по меньшей мере 6 м2, а объём - не менее 20 м3. Важное значение для снижения утомляемости пользователей ПЭВМ имеет правильное расположение рабочих мест в помещении. Оптимальный вариант - расположение рабочих столов с компьютерами по периметру помещения, менее удобный вариант - расположение столов рядами. Комнаты обычно оборудуют одноместными столами с горизонтальной поверхностью, допускается наклон поверхности до 15. Высота стола должна быть такой, чтобы уровень глаз приходился на центр или 2/3 высоты экрана. Минимальное расстояние дисплея от глаз оператора - 50 см, поэтому желательно применять широкие столы - это позволяет отодвинуть монитор дальше от глаз оператора.

Нельзя располагать экраны мониторов перпендикулярно естественным источникам освещения (положение оператора лицом или спиной к окну), так как это снижает видимость изображения и приводит к появлению ярких бликов на экране. Рабочие столы необходимо устанавливать так, чтобы плоскость экрана была перпендикулярна к окну. При оборудовании помещения компьютерами не рекомендуется применять местное освещение.

Не следует располагать монитор компьютера ближе 40 см от края стола, чтобы не подвергать оператора воздействию низкоэнергетического рентгеновского излучения, исходящего от трубки. Для ослабления разного рода излучений от трубки дисплея применяются также специальные фильтры, которые позволяют улучшить контрастность изображения на экране.

Организация режима труда и отдыха при работе с ПЭВМ

Для снижения отрицательного воздействия ПЭВМ и ВДТ на пользователя санитарными правилами и нормами также предусмотрены определённые режимы труда и отдыха в зависимости от вида и категории трудовой деятельности.

Виды трудовой деятельности разделяются на три группы: А - работа по считыванию информации с экрана ВДТ или ПЭВМ с предварительным запросом; Б - работа по вводу информации; В - творческая работа в режиме диалога с ПЭВМ. Для данных видов трудовой деятельности устанавливается три категории тяжести и напряжённости работы.

При выполнении в течение рабочей смены работ, относящихся к разным видам трудовой деятельности, за основную работу с ПЭВМ следует принимать такую, которая занимает не менее 50 % времени в течение рабочей смены или рабочего дня.

Для обеспечения оптимальной работоспособности и сохранения здоровья профессиональных пользователей на протяжении рабочей смены должны устанавливаться регламентированные перерывы, длительность которых в течение рабочей смены следует устанавливать в зависимости от ее продолжительности, вида и категории трудовой деятельности (табл.10.4). Продолжительность непрерывной работы с ВДТ без перерыва не должна превышать 2 часов.

С целью уменьшения отрицательного влияния монотонии целесообразно применять чередование операций осмысленного текста и числовых данных (изменение содержания работ), чередование редактирования текстов и ввода данных (изменение содержания работы).

Таблица 8.4 - Уровень нагрузки за рабочую смену и суммарное время регламентированных перерывов по категориям работы с ВДТ или ПЭВМ

Категория работы с ВДТ или ПЭВМ

Уровень нагрузки за рабочую смену

Суммарное время регламентированных перерывов, мин.

Группа А, количество знаков

группа Б, количество знаков

группа В, время работы, часов

при 8-ми часовой смене

при 12-ти часовой смене

I

до 20000

До 15000

до 2,0

30

70

II

до 40000

До 30000

до 4,0

50

90

III

до 60000

До 40000

до 6,0

70

120

В случаях возникновения у работающих с ВДТ и ПЭВМ зрительного дискомфорта и других неблагоприятных субъективных ощущений, несмотря на соблюдение санитарно-гигиенических, эргономических требований, режимов труда и отдыха, следует применять индивидуальный подход в ограничении времени работ с вычислительной техникой, коррекцию длительности перерывов для отдыха или проводить смену деятельности на другую, не связанную с использованием ПЭВМ.

Для уменьшения негативных последствий работы с ПЭВМ следует выбирать ра-циональные режимы труда и отдыха, использовать защитные средства, осуществлять комплексные оздоровительно-профилактические мероприятия (специальные упраж-нения, витаминизация, медицинский контроль). Безопасные условия труда на ПЭВМ регламентируются СанПиН 2.2.2/2.4.1340-03 «Гигиенические требования к ПЭВМ и организации работы».

8.9 Пожарная безопасность

Пожар - это неконтролируемое горение вне специального очага, наносящее материальный ущерб. Горение - быстро протекающая химическая реакция окисления с выделением большого количества тепла и свечения. Горючее вещество, окислитель, источник зажигания - необходимые условия горения. Горючие вещества могут быть твердыми, жидкими, газообразными и пылью. Окислителями являются кислород воздуха, Cl, F, Br, I и другие.

Пожарная безопасность - это состояние объекта, при котором исключается возможность пожара, а в случае его возникновения предотвращается воздействие на людей опасных факторов пожара и обеспечивается защита материальных ценностей.

Пожарная опасность помещений с ПЭВМ определяется особенностями выполняемого в них технологического процесса, свойствами применяемых веществ и материалов. По взрывопожарной и пожарной опасности помещения подразделяются на категории А, Б, В1 - В4, Г и Д. Согласно ГОСТ 12.1.004-91 помещения с ПЭВМ чаще всего относятся к пожароопасным, категории В. Это вызвано тем, что все устройства и блоки питаются от трехфазной сети переменного тока (380/220 В, 50 Гц), что приводит к нагреву приборов и может способствовать возгоранию. Повышенная пожарная опасность также возникает из-за возможности короткого замыкания в любом из электрических устройств, используемых на рабочем месте.

В результате возникновения возгорания человек может не только получить ожоги, но и подвергнуться воздействию ядовитых продуктов горения, так как вычислительная техника изготовлена из горючих материалов, выделяющих при горении удушливые и ядовитые вещества, и находится под напряжением.

Для борьбы с пожаром в помещении, где расположена вычислительная техника, должна находиться противопожарная сигнализация, средства тушения огня, быть предусмотрен запасной выход и план эвакуации. Рубильники выключения тока должны находиться в легкодоступных местах. Также должна существовать возможность полного отключения электропитания одним рубильником.

На рабочем месте должны соблюдаться определенные правила, выполнение которых помогает предотвратить или не дать распространиться возможному пожару:

стены, перегородки, перекрытия, покрытия должны быть сделаны из несгораемых материалов;

двери оборудуются в притворах уплотнителями, чтобы не допустить задымления отдельных помещений;

система вентиляции должна быть оборудована устройством, которое автоматически отключает вентиляцию в случае возникновения пожара;

в помещения для ПЭВМ запрещается курить и применять открытый огонь, электронагревательные приборы допустимо применять только напряжением не выше 36 В;

нельзя оставлять вычислительные устройства подключенными к источнику питания при уходе с рабочего места.

Возможность быстрой ликвидации возникшего пожара определяется наличием средств извещения о пожаре. Для этих целей могут быть использованы телефонная связь, электрическая пожарная сигнализация и звуковые системы (гудок, сирена).

Не менее существенную роль при борьбе с пожарами играет и наличие средств пожаротушения: они должны помочь создать условия, при которых процесс горения невозможен. Одним из наиболее эффективных огнетушащих средств является воздушно-механическая пена высокой кратности. Существует и ряд других средств тушения пожара. Для быстрой ликвидации пожара в помещениях, где расположена вычислительная техника и сопутствующее оборудование, необходимо наличие углекислотных, порошковых или углекислотно-бромэтиловых огнетушителей в непосредственной близости от рабочего места. Нужно помнить, что техника, в которой произошло возгорание, вероятнее всего находится под напряжением, что исключает применение токопроводящих средств тушения (вода и другие) при ликвидации пожара. Несоблюдение этого правила может привести к достаточно тяжелым последствиям.

8.10 Экологичность проекта

Современный пользователь ПЭВМ предъявляет всё более возрастающие требования не только к надёжности, безопасности, но и к экологичности своего рабочего места.

Процесс разработки и использования системы не нанесет какого-либо вреда экологическому состоянию окружающей среды и не требует дополнительных мер по её защите.

9. ЭКОНОМИЧЕСКАЯ ЧАСТЬ

Процесс создания и внедрения автоматизированных устройств включает в себя множество различных работ, которые разбиваются на стадии и этапы, причем работы могут выполняться различными исполнителями. Процессом автоматизированной системы необходимо управлять и четко контролировать во времени. В данном разделе составлен план разработки автоматизированного устройства и представлен в виде ленточного графика, а также рассчитана смета затрат на разработку автоматизированного устройства и цена разработки [22].

9.1 Ленточный график проведения НИР

Основной целью планирования НИР является определение общей продолжительности их проведения. В этом случае наиболее простым и наглядным будет ленточный график проведения НИР. Он представляет собой таблицу, где перечислены наименования видов работ, должности исполнителей, трудоемкость, численность и длительность выполнения каждого вида работ.

Продолжением таблицы является ленточный график, отображающий зависимость продолжительности каждого вида работ в виде отрезков времени, которые располагаются в соответствии с последовательностью выполнения работ. Продолжительность каждой работы Тп определяется:

где Т - трудоемкость работ, чел./дн.;

r - численность исполнителей, чел.

Таблица 9.1 - Сроки выполнения разработки автоматизированного устройства

Стадия разработки

Должность исполнителя

Распределение трудоемкости по исполнителям (чел./дн.)

Сроки

1

Разработка технического задания и согласование темы

Старший научный сотрудник

Инженер

5

5

5

2

Изучение технического задания

Инженер

7

7

3

Подбор и изучение литературы и информационных источников

Старший научный сотрудник Инженер

2

18

18

4

Выбор функциональной схемы устройства

Старший научный сотрудник Инженер

1

2

2

5

Описание схемы электрической принципиальной

Старший научный сотрудник Инженер

1

7

7

6

Разработка алгоритма работы устройства

Старший научный сотрудник

Инженер

1

7

7

7

Разработка общей конструкции и элементов

Старший научный сотрудник Инженер

2

7

7

8

Проведение экономических расчетов

Инженер

2

2

9

Проработка вопросов безопасности

Инженер

2

2

10

Оформление документации

Старший научный сотрудник Инженер

1

7

7

11

Оформление графического материала

Инженер

3

3

12

Утверждение проекта руководителем

Старший научный сотрудник

1

1

13

Сдача проекта

Инженер

1

1

Всего

69

В соответствии с полученными данными строим ленточный график. Он отражает продолжительность каждого вида работ в виде отрезков времени.

Рисунок 9.1 - Ленточный график

9.2 Составление сметы затрат на разработку

Затраты на разработку проекта представляют собой единовременные расходы на всех этапах инновационного процесса: исследование, разработка, эксплуатация. Определение затрат на разработку проекта производится путем составления калькуляции плановой себестоимости. Расходы на разработку проекта включают в себя следующие статьи:

материальные затраты;

затраты на оплату труда;

амортизация основных фондов;

прочие затраты.

1) Материальные затраты.

Специальное оборудование и ПО для выполнения данной работы не приобреталось, поэтому в материальные затраты включаем только расходы на электроэнергию:

где Р - потребляемая мощность оборудования, кВт/ч;

ЦЭЛ - стоимость 1 кВт•ч;

ТИ - время использования оборудования при проведении работ.

Для выполнения работы использовался персональный компьютер потребляемой мощностью 360 Вт и принтер потребляемой мощностью 350 Вт. Время работы ПЭВМ в данном примере составляет 60 дня по 8 часов в день, а принтера - 3 часа.

Стоимость 1 кВт - 3,1 руб. Получаем, что:

Следовательно, получаем, что материальные затраты составляют 538.9 рублей.

2) Затраты на оплату труда включают основную и дополнительную зарплату. Основная начисляется исходя из ставки разработчика и времени затрачиваемого на выполнение работы.

Затраты на оплату труда начисляются исходя из ставки инженерно-технического персонала и времени, затраченного на выполнение работы. Заработная плата вычисляется по следующей формуле:

,

где Зосн - основная заработная плата,

Здоп - дополнительная заработная плата

Тарифная дневная ставка находится по формуле:

,

где ЗПмес - месячная заработная плата;

Драб - количество рабочих дней в месяце.

Таблица 9.2 - Расчет тарифных ставок инженерно-технического персонала

Категории сотрудников

Месячная зарплата, руб

Тарифная ставка, руб

Руководитель

11000

550

Инженер

6000

300

Исходя из данных таблицы 9.2 фонд зарплаты для каждой категории сотрудников составит:

,

,

где ТИi - тарифная ставка;

ДИi - количество дней работы.

Основная заработанная плата при этом определяется:

Дополнительная заработная плата составляет 20% от основной:

В итоге получим затраты на оплату труда в размере:

4) Амортизационные отчисления: Величина амортизационных отчислений определяется по формуле

где Фп - балансовая стоимость оборудования;

Тп - время использования оборудования при проведении работ;

На - норма амортизации;

Фэф - годовой эффективный фонд времени работы оборудования, для односменной работы он составляет Фэф = 256 дней.

Время работы на ПВЭМ составляет 60 дней. Срок службы компьютера - 2-3 года, тогда норма амортизации:

,

Амортизационные отчисления для компьютера стоимостью в 40000 рублей составят:

Общие прямые затраты составят следующую сумму:

(9.2.9)

5) Прочие расходы:

страховые взносы берутся в размере 30.2% от величины фонда оплаты труда:

величина остальных прочих расходов берется от суммы прямых общих затрат в установленном размере. Для разработки устройства они составят 20%:

Прочие расходы составят:

Общие затраты на разработку составят:

Необходимые расходы сведены в таблице 9.2.3.

Таблица 9.3 - Смета затрат

Наименование калькуляционных статей расходов

Сумма, руб.

Удельный вес, %

Затраты на электроэнергию, Зм

538.9

0,7

Затраты на заработную плату, Фзп

63.3

Амортизация оборудования, Анир

3

Прочие расходы, Зн

33

Общие затраты, З

100

Таким образом, полная сметная стоимость проекта составляет 82210 рублей.

9.3 Расчет цены для научно-исследовательской работы

Цена для НИР рассчитывается по следующей формуле:

,

где НДС - налог на добавленную стоимость,

Пр - прибыль.

Пусть прибыль составит 30%, получим:

,

НДС сейчас составляет 18%, и цена НИР будет рассчитываться по следующей формуле:

9.4 Экономическая эффективность проекта

Понятие эффективности является комплексным. Любой технический прибор имеет ряд особенностей, в том числе таких, как специфика его труда по его созданию, определение цены на него, обоснование затрат на разработку и тому подобные. В большинстве случаев суммарные затраты на разработку прибора и дальнейшее его использование значительны, поэтому требуется тщательный анализ качества и эффективности его использования.

Показатель эффекта определяет все положительные результаты, достигаемые при использовании системы автоматизированного контроля межблочного монтажа. Оценим эффективность разработки данного устройства.

Экономический эффект от использования системы за расчетный период Т определяется по формуле, руб.:

,

где ПТ - стоимостная оценка результатов применения системы в течение периода Т, руб.;

ЗТ -стоимостная оценка затрат на создание (п. 9.2.), руб.

Стоимостная оценка результатов применения системы (ПТ) за расчётный период Т определяется по формуле:

,

где k - количество лет, в течение которых будет эксплуатироваться данная система;

pt - стоимостная оценка результатов года t расчетного периода, руб.;

i - расчётный период;

- дисконтирующая функция, которая вводится для приведения всех затрат и результатов к одному моменту времени;

en - коэффициент дисконтирования (en = 0,2 - нормативный коэффициент эффективности капитальных вложений).

Таким образом

.

Применительно к данному проекту система проверки монтажа заменяет ручной труд, следовательно, набор полезных результатов в основном не меняется. Для оценки итогов применения системы в год берется разница издержек, возникающая в результате её использования, т.е рt = Эу.

Экономия от замены ручного контроля монтажа на автоматизированный образуется за счёт снижения затрат на контроль по формуле, руб.:

,

где ЗР - затраты на ручной контроль монтажа, руб.;

ЗА - затраты на автоматизированный контроль монтажа, руб.

Затраты на ручной контроль монтажа определяются следующим образом:

,

где tР - время ручного контроля одного изделия, час;

Ц - стоимость одного часа работы оператора, руб./час;

ЦЭЛ - стоимость одного часа электроэнергии, руб./час.

На основании всего вышеизложенного можно произвести приближенную оценку эффективности от внедрения данной системы.

.

Стоимость одного часа работы определяется исходя из средней зарплаты рабочего в размере 15000 рублей, 21 рабочего дня в месяц и восьмичасового рабочего дня.

,

Следовательно, затраты на ручной контроль будут равны:

Затраты на автоматизированный контроль рассчитываются по следующей формуле:

,

где tА - время автоматического контроля одного изделия, ч.;

to - время работы оператора во время контроля изделия, ч.

Для данной системы:

Следовательно, затраты на автоматизированный контроль монтажа одного корпуса:

В год контролируется приблизительно 5000 шт. Таким образом, годовая экономия от внедрения системы составит:

Кроме того, если предположить, что система контроля без изменений и доработок будет использоваться в течение пяти лет, тогда стоимостная оценка результатов её применения (экономия) за расчётный период Т = 5 лет составит:

Экономический эффект от использования системы автоматизированного контроля межблочного монтажа за расчётный период Т =5 лет составит:

На основании полученных значений экономических показателей можно сделать вывод, что разработка системы автоматизированного контроля межблочного монтажа является экономически эффективной.

ЗАКЛЮЧЕНИЕ

В данном дипломном проекте был разработан блок управления (БУ) для системы автоматизированного контроля межблочного монтажа, которая предназначена для того чтобы более точно, быстро и экономически эффективно организовать процесс проверки правильности монтажа и измерения сопротивления изоляции межблочных и кабельных соединений радиоэлектронный аппаратуры.

В ходе разработки представленного проекта была обоснована актуальность поставленной задачи.

Рассмотрены основные принципы и метод её решения. Разработаны структурная и функциональная схемы БУ.

Произведена разработка принципиальной схемы, выбор и расчёт её элементов. Представлен алгоритм работы прибора, а также написано программное обеспечение. В необходимом объеме проведены конструкторские работы: разработана печатная плата БУ и общий вид устройства в целом.

В разделе «Безопасность и экологичность проекта» рассмотрена безопасная организация рабочего места пользователя при эксплуатации ПЭВМ.

В «Экономической части» произведены расчёты затрат на выполнение проекта, расчёт цены для НИР и расчёт экономической эффективности проекта.

Дипломный проект выполнен в полном объёме и в соответствии с техническим заданием.

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

1. Основы автоматизации систем контроля и управления, Г.М. Гнедов, В.Б. Кудрявцев, конспект лекций, ЛВИКА им. А.Ф. Можайского, 1695.

2. Основы построения автоматизированных систем контроля сложных объектов, под ред. П.И. Кузнецова, Энергия, Москва, 1999.

3. Основы проектирования микропроцессорных устройств автоматики, Б.М. Каган, В.В. Сташин, Энергоатомиздат, Москва, 2007.

4. Мейзда Ф. Электронные измерительные приборы и методы измерений: Пер с англ. - М.: Мир, 1990. - 535 с.

5. Евтихеева Н.Н. Измерение электрических и неэлектрических величин: Учебное пособие для вузов. - М.: Энергоатомиздат, 1990. -352 с.

6. Москатов Е.А. Справочник по полупроводниковым приборам. - М.: Журнал “Радио”, 2005. - 208 с.

7. Однокристальные микроЭВМ, А.В. Боборыкин, Г.П. Липовецкий, Г.В. Литвинский и др., МИКАП, Москва, 1994.

8. Обзор скоростных цифровых изоляторов с передачей данных через магнитное поле. Компоненты и технологии. 2006. № 2. Иоффе Д.

9. Операционные усилители и линейные интегральные схемы, Р. Кофлин, Ф. Дрискол, Мир, Москва, 1979г., 360с.

10. Зарубежные интегральные микросхемы для промышленной электронной аппаратуры. Справочник, Нефёдов А.В., Савченко А.М., Феоктистов Ю.Ф., Энергоатомиздат, Москва, 1989г., 400с.

11. Цифровые и аналоговые интегральные микросхемы: Справочник, под ред. С. В. Якубовского. - М.: Радио и связь, 1989. - 496 с.

12. Разработка и оформление конструкторской документации радиоэлектронной аппаратуры: Справочник / Э.Т. Романычева, А.К. Иванова, А.С. Куликов и др.; Под ред. Э.Т. Романычевой. - 2-е изд., перераб. и доп. - М.: Радио и связь, 1989г.- 448с., ил.

13. Усатенко С.Т., Каченюк Т.К. Выполнение электрических схем по ЕСКД: Справочник.-М.: Издательство стандартов,1992.- 316с., ил.

14. Лопаткин А.В. Проектирование печатных плат в системе Р-САD-2001. Учебное пособие для практических занятий. - Нижний Новгород, НГТУ, 2002. - 190 с.

15. Конструирование радиоэлектронных средств. Разработка функциональных узлов на печатных платах: Метод. указ. к лаб. работе/Сост. В.П. Румянцев. - Рязань,1998.- 20с.

16. Оценка инструментальных погрешностей средств и результатов измерений, Г.А. Садовский, РРТИ, Рязань, 1986.

17. Руководство по выполнению экономической части дипломного проекта (для студентов технических специальностей) / РГРТУ.; Сост.: Л.В. Васина, Е.Н. Евдокимова, А.В. Рыжкова. Рязань, 2008. 37 с

18. Безопасность и экологичность проекта: Методические указания для дипломников; Сост.: Ю.В. Зайцев, Н.В. Весёлкин С.И. Кордюков, А.Я. Агеев; Под. ред. Ю.В. Зайцева. Рязан. гос. радиотехн. ун-т. Рязань, 2006. № 3860.

19. Обеспечение безопасности пользователя при работе с ПЭВМ: Учеб. пособие. Ю.В. Зайцев, В.И. Кремнёв; Рязан. гос. радиотехн. акад. Рязань, 2000.

20. СанПиН 2.2.4.548-96 «Гигиенические требования к микроклимату производственных помещений».

21. СанПиН 2.2.4.1294-03 «Гигиенические требования к аэроионному составу воздуха производственных и общественных помещений».

ПРИЛОЖЕНИЕ

омметр микроконтроллер электромагнитный поле

Программное обеспечение

#include <mega64.h>

#include <interupt_AKM03.h>

#include <message_PC.h>

#include <function_adc.h>

#include <function_AKM03.h>

//==================================================

void main(void)

{

flag_t0 = 0;

flag_t1 = 0;

init_mc();

setRange5V(1);

rx_counter1 = 0;

//--------------------------------------------------------

// Global enable interrupts

#asm("sei")

//--------------------------------------------------------

while (1)

{

if(rx_counter1 != 0)

{

iter_Processing_massage_PC1();

}

};

}

Interrupt_AKM03.h

//=====================================

#include <mega64.h>

//=====================================

extern char flag_t1;

extern char flag_t0;

extern unsigned int time_t0;

//=====================================

#ifndef RXB8

#define RXB8 1

#endif

#ifndef TXB8

#define TXB8 0

#endif

#ifndef UPE

#define UPE 2

#endif

#ifndef DOR

#define DOR 3

#endif

#ifndef FE

#define FE 4

#endif

#ifndef UDRE

#define UDRE 5

#endif

#ifndef RXC

#define RXC 7

#endif

//=====================================

#define FRAMING_ERROR (1<<FE)

#define PARITY_ERROR (1<<UPE)

#define DATA_OVERRUN (1<<DOR)

#define DATA_REGISTER_EMPTY (1<<UDRE)

#define RX_COMPLETE (1<<RXC)

//=====================================

// USART1 Receiver buffer

#define RX_BUFFER_SIZE1 16

char rx_buffer1[RX_BUFFER_SIZE1];

#if RX_BUFFER_SIZE1<256

unsigned char rx_wr_index1,rx_rd_index1,rx_counter1;

#else

unsigned int rx_wr_index1,rx_rd_index1,rx_counter1;

#endif

//=====================================

// This is set on USART1 Receiver buffer overflow

bit rx_buffer_overflow1;

// USART1 Receiver interrupt service routine

interrupt [USART1_RXC] void usart1_rx_isr(void)

{

char status,data;

status=UCSR1A;

data=UDR1;

if ((status & (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN))==0)

{

rx_buffer1[rx_wr_index1]=data;

if (++rx_wr_index1 == RX_BUFFER_SIZE1) rx_wr_index1=0;

if (++rx_counter1 == RX_BUFFER_SIZE1)

{

rx_counter1=0;

rx_buffer_overflow1=1;

};

};

}

// Get a character from the USART1 Receiver buffer

#pragma used+

char getchar1(void)

{

char data;

while (rx_counter1==0);

data=rx_buffer1[rx_rd_index1];

if (++rx_rd_index1 == RX_BUFFER_SIZE1) rx_rd_index1=0;

#asm("cli")

--rx_counter1;

#asm("sei")

return data;

}

#pragma used-

//=====================================

// USART1 Transmitter buffer

#define TX_BUFFER_SIZE1 16

char tx_buffer1[TX_BUFFER_SIZE1];

#if TX_BUFFER_SIZE1<256

unsigned char tx_wr_index1,tx_rd_index1,tx_counter1;

#else

unsigned int tx_wr_index1,tx_rd_index1,tx_counter1;

#endif

//=====================================

// USART1 Transmitter interrupt service routine

interrupt [USART1_TXC] void usart1_tx_isr(void)

{

if (tx_counter1)

{

--tx_counter1;

UDR1=tx_buffer1[tx_rd_index1];

if (++tx_rd_index1 == TX_BUFFER_SIZE1) tx_rd_index1=0;

};

}

// Write a character to the USART1 Transmitter buffer

#pragma used+

void putchar1(char c)

{

while (tx_counter1 == TX_BUFFER_SIZE1);

#asm("cli")

if (tx_counter1 || ((UCSR1A & DATA_REGISTER_EMPTY)==0))

{

tx_buffer1[tx_wr_index1]=c;

if (++tx_wr_index1 == TX_BUFFER_SIZE1) tx_wr_index1=0;

++tx_counter1;

}

else

UDR1=c;

#asm("sei")

}

#pragma used-

//=====================================

// Timer1 output compare A interrupt service routine

interrupt [TIM1_COMPA] void timer1_compa_isr(void)

{

TCNT1H=0x00;

TCNT1L=0x00;

flag_t1 = 1;

}

interrupt [TIM0_COMP] void timer0_comp_isr(void)

{

static int count = 0;

TCNT0=0x00;

count++;

if(time_t0 == count)

{

flag_t0 = 1;

count = 0;

}

}

//=====================================

//=====================================

#ifndef _MESSAGE_PC_INCLUDED_

#define _MESSAGE_PC_INCLUDED_

#include <mega64.h>

#include <function_lcd.h>

#include <function_adc.h>

#include <function_AKM03.h>

//=====================================

unsigned int N1;

unsigned int N2;

unsigned int N3;

//=====================================

char iter_Processing_massage_PC1();

//=====================================

char iter_Processing_massage_PC1()

{

char code_command = getchar1();

if(code_command == 0)

{

putchar1(25);

delay_us(40);

}

//============================

else if(code_command == 1)//

{

char DSH1,DSH2,DSH3,DSH4;

float fD;

long unsigned int iD;

unsigned int n,t;

DSH1 = getchar1();

DSH2 = getchar1();

DSH3 = getchar1();

DSH4 = getchar1();

n = getchar1();

n += getchar1()*256;

t = getchar1();

t += getchar1()*256;

//-------------------------

mensMode5V4s();

writeDSH1(DSH1);

writeDSH2(DSH2);

writeDSH3(DSH3);

writeDSH4(DSH4);

//-------------------------

delay_ms(t);

//-------------------------

setRange5V(1);

fD = mens_adc_U5V4s(n,1);

//-------------------------

iD = fD;

//-------------------------

putchar1(iD);

putchar1(iD/256);

putchar1(iD/65536);

putchar1(iD/16777216);

setRange5V(1);

putchar1(1);

}

//============================

else if(code_command == 2)

{

char DSH1,DSH2;

long unsigned int D;

DSH1 = getchar1();

DSH2 = getchar1();

//-------------------------

mensMode5V2s();

if(DSH1 < 200)

{

clrDSH4();

writeDSH1(DSH1);

}

else

{

clrDSH1();

writeDSH4(DSH1 % 200);

}

if(DSH2 < 200)

{

clrDSH3();

writeDSH2(DSH2);

}

else

{

clrDSH2();

writeDSH3(DSH2 % 200);

}

//-------------------------

delay_ms(5);

//-------------------------

D = mens_adc_U5V2s(5,1);

if(D < N1)

D = mens_adc_U5V2s(50,1);

else

{

setRange5V(2);

delay_ms(5);

D = mens_adc_U5V2s(5,2);

if(D < N2)

D = mens_adc_U5V2s(50,2);

else

{

setRange5V(3);

delay_ms(5);

D = mens_adc_U5V2s(50,3);

}

}

//-------------------------

putchar1(D);

putchar1(D/256);

putchar1(D/65536);

putchar1(D/16777216);

putchar1(2);

}

//============================

else if(code_command == 3)//проверка 100В

{

char DSH1,DSH2,range;

float fD;

long unsigned int iD;

unsigned int n,t;

DSH1 = getchar1();

DSH2 = getchar1();

n = getchar1();

n += getchar1()*256;

t = getchar1();

t += getchar1()*256;

range = getchar1();

//-------------------------

mensMode100V();

if(DSH1 < 200)

{

clrDSH4();

writeDSH1(DSH1);

}

else

{

clrDSH1();

writeDSH3(DSH1 - 200);

}

if(DSH2 < 200)

{

clrDSH3();

writeDSH2(DSH2);

}

else

{

clrDSH2();

writeDSH4(DSH2 - 200);

}

//-------------------------

delay_ms(t);

//-------------------------

fD = mens_adc_U100V(n,range);

if(D < N1)

D = mens_adc_U100V(50,1);

else

{

setRange100V(2);

delay_ms(5);

D = mens_adc_U100V(5,2);

if(D < N2)

D = mens_adc_U100V(50,2);

}

}

//-------------------------

iD = fD;

//-------------------------

putchar1(iD);

putchar1(iD/256);

putchar1(iD/65536);

putchar1(iD/16777216);

putchar1(3); }

//============================

else if(code_command == 12)//

{

K13 = 0;

clrK17();//K17 = 0;

K11 = 0;

K12 = 0;

K9 = 0;

K10 = 0;

K1 = 0;

K2 = 0;

K3 = 0;

K4 = 0;

K5 = 0;

K6 = 0;

K7 = 0;

K8 = 0;

clrDSH1();

clrDSH2();

clrDSH3();

clrDSH4();

putchar1(12);

}

//============================

Function_AKM03.h

#include <mega64.h>

#include <delay.h>

//=====================================

#ifndef _FUNCTION_AKM03_INCLUDED_

#define _FUNCTION_AKM03_INCLUDED_

//=====================================

#define DH1 PORTE.2

#define DH2 PORTE.3

#define DH3 PORTE.6

#define DH4 PORTE.7

#define K1 PORTB.0

#define K2 PORTB.2

#define K3 PORTB.3

#define K4 PORTB.4

#define K5 PORTC.0

#define K6 PORTC.1

#define K7 PORTC.2

#define K8 PORTC.3

#define K9 PORTC.4

#define K10 PORTC.5

#define K11 PORTC.6

#define K12 PORTC.7

#define K13 PORTD.0

#define K14 PORTD.1

#define K15 PORTD.4

#define K18 PORTD.5

#define K19 PORTD.6

#define K20 PORTD.7

//#define K16 PORTG.0

//#define K17 PORTG.1

//#define K21 PORTG.2

//#define K22 PORTG.3

//=====================================

// char menu;

char flag_t0;

unsigned int time_t0;

//=====================================

void writeDSH1(char DH);

void writeDSH2(char DH);

void clrDSH1();

void clrDSH2();

void mensMode100V();

void mensMode5V4s();

void mensMode5V2s();

void setRange5V(char N);

void setRange100V(char N);

void setK17();

void clrK17();

void setK16();

void clrK16();

void setK21();

void clrK21();

void setK22();

void clrK22();

void start_timer0();

void stop_timer0();

//=====================================

void writeDSH1(char DH)

{

PORTA = DH;

delay_us(5);

DH1 = 1;

delay_us(1);

DH1 = 0;

}

//------------------------------------------

void writeDSH2(char DH)

{

PORTA = DH;

delay_us(5);

DH2 = 1;

delay_us(1);

DH2 = 0;

}

//------------------------------------------

void writeDSH3(char DH)

{

PORTA = DH;

delay_us(5);

DH3 = 1;

delay_us(1);

DH3 = 0;

}

//------------------------------------------

void writeDSH4(char DH)

{

PORTA = DH;

delay_us(5);

DH4 = 1;

delay_us(1);

DH4 = 0;

}

//------------------------------------------

void clrDSH1()

{

PORTA = 0x80;

delay_us(5);

DH1 = 1;

delay_us(1);

DH1 = 0;

}

//------------------------------------------

void clrDSH2()

{

PORTA = 0x80;

delay_us(5);

DH2 = 1;

delay_us(1);

DH2 = 0;

}

//------------------------------------------

void clrDSH3()

{

PORTA = 0x80;

delay_us(5);

DH3 = 1;

delay_us(1);

DH3 = 0;

}

//------------------------------------------

void clrDSH4()

{

PORTA = 0x80;

delay_us(5);

DH4 = 1;

delay_us(1);

DH4 = 0;

}

//------------------------------------------

void mensMode100V()

{

//отключить режим 5В

clrK17();//K17 = 0;

K9 = 0;

K10 = 0;

K1 = 0;

K2 = 0;

K3 = 0;

K4 = 0;

K5 = 0;

K6 = 0;

clrK21();//K21 = 0;

//----------

K7 = 0;

K8 = 0;

K11 = 0;

K12 = 0;

//----------

clrDSH1();

clrDSH2();

clrDSH3();

clrDSH4();

delay_ms(5);

//----------

//наименьший диапазон сопротивлений

K14 = 1;

K15 = 0;

clrK16();//K16 = 0;

//----------

//включить режим 100В

setK22();//K22 = 1;

delay_ms(5);

K13 = 1;

}

//------------------------------------------

void setRange100V(char N)

{

switch(N)

{

case 1:

K14 = 1;

K15 = 0;

clrK16();//K16 = 0;

break;

case 2:

K15 = 1;

K14 = 0;

clrK16();//K16 = 0;

break;

case 3:

setK16();//K16 = 1;

K14 = 0;

K15 = 0;

break;

}

}

//------------------------------------------

void mensMode5V4s()

{

//отключить режим 100В

K13 = 0;

K12 = 0;

K9 = 0;

K7 = 0;

K8 = 0;

K6 = 0;

K4 = 0;

K3 = 0;

K1 = 0;

clrK22();

//отключение всех точек

clrDSH1();

clrDSH2();

clrDSH3();

clrDSH4();

delay_ms(5);

//----------

//наименьший коэффициент усиления

K18 = 1;

//----------

//включить режим 5В4c

K2 = 1;//подключаем измеритель

K5 = 1;

K10 = 1;//подключаем землю GNDA

setK21();

setK17();//K17 = 1;//подключаем источник тока к +St

K11 = 1;//подключаем +St к линии L1

delay_ms(5);

}

//------------------------------------------

void setRange5V(char N)

{

switch(N)

{

case 1:

K18 = 1;

K19 = 0;

K20 = 0;

break;

case 2:

K19 = 1;

K18 = 0;

K20 = 0;

break;

}

}

//------------------------------------------

void setK16()

{

PORTG |= 0b00000001;

}

void clrK16()

{

PORTG &= 0b11111110;

}

//------------------------------------------

void setK17()

{

PORTG |= 0b00000010;

}

void clrK17()

{

PORTG &= 0b11111101;

}

//------------------------------------------

void setK21()

{

PORTF |= 0b00000010;

}

void clrK21()

{

PORTF &= 0b11111101;

}

//------------------------------------------

void setK22()

{

PORTF |= 0b00000001;

}

void clrK22()

{

PORTF &= 0b11111110;

}

//------------------------------------------

void start_timer0()

{

flag_t0 = 0;

TCCR0=0x07;

}

//------------------------------------------

void stop_timer0()

{

TCCR0=0x00;

flag_t0 = 0;

}

//------------------------------------------

void meandr()

{

static char flag = 0;

flag++;

if(flag & 0b00000001)

{

setK17();

//flag = 0;

}

else

{

clrK17();

//flag = 1;

}

}

//------------------------------------------

//------------------------------------------

void init_mc()

{

//--------------------------------------------------------

// Input/Output Ports initialization

// Port A initialization

PORTA=0x00;

DDRA=0xFF;

//--------------------------------------------------------

// Port B initialization

PORTB=0b00000000;

DDRB =0b10111101;

//--------------------------------------------------------

// Port C initialization

PORTC=0b00000000;

DDRC=0xFF;

//--------------------------------------------------------

// Port D initialization

PORTD=0b00000000;

DDRD =0b11110011;

//--------------------------------------------------------

// Port E initialization

PORTE=0b00000000;

DDRE=0b11111100;

//--------------------------------------------------------

// Port F initialization

PORTF=0x00;

DDRF=0xFF;

//--------------------------------------------------------

// Port G initialization

PORTG=0b00000000;

DDRG =0b00011111;

//--------------------------------------------------------

// Timer/Counter 0 initialization

//ASSR=0x00;

TCCR0=0x00;

//TCNT0=0x00;

//OCR0=0x00;

ASSR=0x00;

//TCCR0=0x07;

TCNT0=0x00;

OCR0=0x6C;

//--------------------------------------------------------

// Timer/Counter 1 initialization

TCCR1A=0x00;

TCCR1B=0x01;

TCNT1H=0x00;

TCNT1L=0x00;

ICR1H=0x00;

ICR1L=0x00;

OCR1AH=0x15;

OCR1AL=0x99;

OCR1BH=0x00;

OCR1BL=0x00;

OCR1CH=0x00;

OCR1CL=0x00;

//--------------------------------------------------------

// Timer/Counter 2 initialization

TCCR2=0x00;

TCNT2=0x00;

OCR2=0x00;

//--------------------------------------------------------

// Timer/Counter 3 initialization

TCCR3A=0x00;

TCCR3B=0x00;

TCNT3H=0x00;

TCNT3L=0x00;

ICR3H=0x00;

ICR3L=0x00;

OCR3AH=0x00;

OCR3AL=0x00;

OCR3BH=0x00;

OCR3BL=0x00;

OCR3CH=0x00;

OCR3CL=0x00;

//--------------------------------------------------------

// External Interrupt(s) initialization

EICRA=0x00;

EICRB=0x00;

EIMSK=0x00;

//--------------------------------------------------------

// Timer(s)/Counter(s) Interrupt(s) initialization

TIMSK=0x12;

ETIMSK=0x00;

//--------------------------------------------------------

// USART1 initialization

//UCSR1A=0x00;

//UCSR1B=0xD8;

//UCSR1C=0x06;

//UBRR1H=0x00;

//UBRR1L=0x47;

UCSR1A=0x00;

UCSR1B=0xD8;

UCSR1C=0x06;

UBRR1H=0x00;

UBRR1L=0x05;

//--------------------------------------------------------

// Analog Comparator initialization

ACSR=0x80;

SFIOR=0x00;

}

//------------------------------------------

//=====================================

#endif

//=====================================

Function_adc.h

include <delay.h>

//#include <math.h>

//=====================================

#ifndef _FUNCTION_ADC_INCLUDED_

#define _FUNCTION_ADC_INCLUDED_

#include <mega64.h>

//=====================================

#define CS PORTB.5

#define SDATA PINB.6

#define SCLK PORTB.7

char flag_t1;

//=====================================

float mens_adc_U100V(unsigned int N,char Range);

float mens_adc_U5V4s(unsigned int N,char Range);

long unsigned int mens_adc_U5V2s(unsigned int N,char Range);

unsigned int adc(void);

float adcN(unsigned int N);

//void watch_bit_iter_timer3(void);

//=====================================

unsigned int adc(void)

{

unsigned int U = 0;

unsigned char i;

CS = 0;

for(i = 0; i < 16; ++i)

{

U <<= 1;

SCLK = 0;

//delay_us(1);

SCLK = 1;

if(SDATA)

{

++U;

}

//delay_us(1);

}

U >>= 1;

CS = 1;

return U;

}

//=====================================

float adcN(unsigned int N)

{

unsigned int i;

float D = 0;

for(i = 0; i < N; ++i)

{

D += adc();

}

D /= N;

return D;

}

//--------------------------------------------------

float mens_adc_U100V(unsigned int N, char Range)

{

float Rizm,R;

unsigned int Rogr = 100;

//----------------------------------------------------

D = adcN(N);

//----------------------------------------------------

switch(Range)

{

case 1:

Rizm = 4;

break;

case 2:

Rizm = 50;

break;

}

D = (Rizm*6553200)/D - 10*(Rogr + Rizm);//0.1 кОм

//----------------------------------------------------

return D;

}

//--------------------------------------------------

long unsigned int mens_adc_U5V2s(unsigned int N,char Range)

{

unsigned int i, ky;

long unsigned int D = 0,R;

for(i = 0; i < N; ++i)

{

D += adc();

}

D /= N;

//D -= Dk;

//D = (5000*D/ky)*100/16383;// 10 мОм

switch(Range)

{

case 1:

ky = 1;//25

break;

case 2:

ky = 40;//1000

break;

}

D = ((200000/ky)*D)/16383;// 0.1 мОм

//----------------------------------------------------

return D;

}

//--------------------------------------------------

float mens_adc_U5V4s(unsigned int N,char Range)

{

unsigned int i, ky;

float D = 0,R,SS;

for(i = 0; i < N; ++i)

{

//while( == 0);

D += adc();

// = 0;

}

D /= N;

switch(Range)

{

case 1:

ky = 25;//25

D = ((5000000*D)/ky)/16383;// 0.1 мОм

break;

case 2:

ky = 8;//1000

D = ((50000000*D)/ky)/16383;// 0.0001 мОм

break;

case 3:

//ky = 2000;//50000

break;

}

//----------------------------------------------------

return D;

}

float mens_adc_U_N4s(unsigned int N)

{

unsigned int i, ky;

float D = 0;

for(i = 0; i < N; ++i)

D += adc();

D /= N;

return D;

}

//=====================================

#endif

//=====================================

Размещено на Allbest.ru


Подобные документы

  • Микроконтроллер ATtiny2313/V фирмы Atmel: структура, основные характеристики, возможности. Центральное ядро процессора. Системная перепрограммируемая Flash-память программ. Порты ввода-вывода микроконтроллера. Блок-схема восьмиразрядного таймера/счётчика.

    курсовая работа [2,2 M], добавлен 11.01.2011

  • Методы и этапы создания системы управления микроволновой печью. Технические характеристики и базовая архитектура семейства микроконтроллера семейства АТ89 фирмы Atmel. Спецификация элементов. Принцип работы стабилизатора КР142ЕН5 и дешифратора К155ИД13.

    курсовая работа [328,5 K], добавлен 14.11.2010

  • Структурная схема устройства управления. Алгоритм работы микроконтроллера в его составе. Строение центрального процессорного элемента – микроконтроллера AVR семейства Classic. Принципиальная схема устройства, расчет временных параметров ее работы.

    курсовая работа [636,5 K], добавлен 03.12.2013

  • Назначение и структура автоматизированной системы, её программное обеспечение и алгоритм функционирования. Анализ систем отопления, вентиляции и кондиционирования как объекта управления. Этапы разработки математической модели теплового режима помещений.

    курсовая работа [533,8 K], добавлен 10.11.2014

  • Общая характеристика электроэрозионного оборудования. Описание существующего проволочного станка AC Classic V2. Разработка структурной схемы автоматизированной системы управления. Техническая реализация проекта системы управления и диагностики параметров.

    дипломная работа [7,1 M], добавлен 05.04.2012

  • Особенности микроконтроллера ATTINY семейства AVR. Описание ресурсов микроконтроллера ATTINY12: описание процессора, порты ввода/вывода, периферийные устройства, архитектура ядра. Разработка устройства со световыми эффектами на базе микроконтроллера.

    курсовая работа [2,1 M], добавлен 24.06.2013

  • Сварочный автомат в среде аргона, его исполнительные устройства, датчики. Циклограмма работы оборудования. Перечень возможных неисправностей, действие системы управления при их возникновении. Построение функциональной электрической схемы блока управления.

    курсовая работа [745,9 K], добавлен 25.05.2014

  • Микропроцессорные системы и микроконтроллеры. Разработка схемы и программы микроконтроллера. Симуляция проекта в программе Proteus 7. Прерывание программы по внешнему сигналу, поступающему в процессор. Устройство и настройка канала порта на ввод-вывод.

    контрольная работа [551,8 K], добавлен 26.01.2013

  • Проектирование микроконтроллера системы управления холодильника, разработка принципиальной электрической и общей функциональной схемы устройства. Описание работы специальной прикладной программы. Программа устройства на Ассемблере. Блок-схема программы.

    курсовая работа [47,6 K], добавлен 14.07.2009

  • Система централизованного контроля температуры (СКТ), в состав которой входит микроконтроллер 51-го семейства. Особенности синтеза принципиальной схемы СКТ, программное обеспечение управления микроконтроллером. Выбор основных элементов устройства.

    курсовая работа [2,0 M], добавлен 19.11.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.