Дослідження методів і алгоритмів синтезу синхронних кінцевих автоматів

Поняття та сутність ПЛІС, проектування та зародження мови VHDL. Моделювання систем за допомогою MatLab та Quartus II. Принцип роботи блока Stateflow. Створення графа станів для синхронного кінцевого автомата. Одержання VHDL коду в середовищі Quartus.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид отчет по практике
Язык украинский
Дата добавления 15.02.2013
Размер файла 2,2 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Ім'я проекту повинне збігатися з ім'ям модуля верхнього рівня ієрархії описів, а, отже, і ім'ям логічного файлу, в якому зберігається його опис. Імена модулів нижніх рівнів ієрархії, у свою чергу, повинні співпадати з іменами файлів, в яких вони вписані.

1.4.3 Стратегія проектування

Проектування в Quartus II дозволяє реалізувати або стратегії висхідного, або спадного проектування.

І та і інша стратегії мають на увазі використання поведінкових і структурних описів модулів. При структурному описі модуль представляється у вигляді сукупності взаємопов'язаних компонентів більш низького рівня в ієрархії описів. При поведінковому ж описі задається алгоритм роботи модуля.

Висхідне проектування застосовується в тому випадку, коли для створюваного пристрою є детальний структурний опис (зазвичай - принципова схема на мікросхемах середнього ступеня інтеграції), виконаний в елементному базисі, відмінному від наявного в розпорядженні розробника НВІС.

Таким чином, в процесі проектування розробник спочатку створює модулі нижнього рівня в ієрархії описів, а потім - модуль верхнього рівня. Звідси і назва стратегії проектування.

Стратегія спадного проектування застосовується у тому випадку, коли заданий алгоритм роботи (поведінкове опис) створюваного пристрою і набір системних вимог (максимальна тактова частота роботи, затримка поширення сигналів від входів до виходів, споживання енергії, вартість і т. д.). При цьому поведінковий опис може бути як формалізованим (блок схема алгоритму, граф, таблиця переходів і виходів і т. д.), так і неформалізованим (словесний опис). Реалізація спадного проектування базується на ітераційному виконанні структурної декомпозиції.

Таким чином, в процесі проектування розробник опускається з верхнього рівня ієрархії описів, рівня НВІС, до нижніх рівнів. Звідси і назва стратегії проектування.

Слід зазначити, що стратегія спадного проектування має безумовні переваги як по часових витратах на розробку, так і за якістю опрацювання проекту.

1.4.4 Процес моделювання

Моделювання (Simulation) дозволяє визначити реакцію розробленого проекту на задану вхідну дію, тобто дозволяє переконатися в правильності його функціонування.

Вихідними даними для моделювання є зовнішні впливи, задані у вигляді деякого вхідного вектора (набору кодових слів). Підсистема моделювання (Simulator) пакета Quartus II, у відповідність з алгоритмом проекту, синтезує вихідні сигнали, відповідні його реакції на заданий вхідний вплив, яка дуже близька до реакції запрограмованої ПЛІС. У типових завданнях розробник задає набори вхідних векторів і аналізує отримані в результаті моделювання вихідні сигнали.

Залежно від поставленої мети підсистема моделювання дозволяє виконати:

- функціональне моделювання проекту (Functional Simulation) при якому перевіряється правильність опису і логічного функціонування проекту;

- моделювання з урахуванням часових параметрів реальної ПЛІС (Timing Simulation), що дозволяє перевірити не тільки правильність логічного функціонування проекту, але і його роботу з урахуванням реальних параметрів обраної ПЛІС в самих жорстких умовах експлуатації.

1.4.5 Створення вектора вхідних впливів та файлу *. vwf

Файли вектора вхідних впливів в системі Quartus II можуть задаватися у вигляді:

- опису в графічній формі (деяких часових діаграм) з використанням редактора часових діаграм (Waveform Editor) - файли *. vwf (Vector Waveform Files);

- опису у текстовому вигляді за допомогою векторних файлів (Vector File) - файли *. vec.

Створення файлу (*. vwf), що містить часові діаграми, виконується в наступній послідовності:

- в меню «Файл» (File) вибирається команда «Новий» (New);

- у вікні, «Новий» (New) вибрати закладку «Інші файли» (Other Files) в якій виділити рядок «Файл вектора часових діаграм» (Vector Waveform Files) і натиснути кнопку «ОК»;

- відкривається порожнє вікно редактора часових діаграм з іменем за умовчанням Waveform1.wvf;

- у вікні «Редагувати» (Edit) вибрати команду «Час закінчення» (End Time) і у вікні, вказати час закінчення моделювання (тривалість інтервалу моделювання та одиницю виміру часу). Натиснути «ОК»;

- створений файл необхідно зберегти, використовуючи команду «Записати як» (Save As) меню «Файл» (File). Програма автоматично запропонує зберегти файл з ім'ям, що збігається з ім'ям файлу верхнього рівня проекту, присвоївши йому розширення. vwf;

- для завершення процесу створення файлу необхідно натиснути кнопку «Зберегти» (Save). При цьому необхідно звернути увагу на наявність прапорця біля напису «Додати файл до поточного проекту» (Add file to current project). Якщо прапорець поставлений, то система автоматично вмонтовує створений файл до поточного проекту;

- для зручності, на полі тчасових діаграм нанесена часова сітка, призначена для візуальної прив'язки сигналів до конкретних часових інтервалів. Використовуючи команду «Крок сітки» (Grid Size) меню «Редагувати» (Edit), можна змінити її крок (період) повторення (Period), початкову фазу (Phase) і відносну тривалість кожного з півперіодів (Duty cycle).

1.4.6 Додавання вхідних, вихідних і проміжних сигналів

Далі в створений файл необхідно ввести вхідні і вихідні сигнали, присутні в проекті. Для цього необхідно:

- у вікні «Редагувати» (Edit) вибрати рядок «Вставити вузол або шину» (Insert Node or Bas);

- у вікні, що з назвою «Вставити вузол або шину» (Insert Node or Bas), натиснути кнопку «Система пошуку вузлів» (Node Finder);

- відкривається вікно системи пошуку вузлів проекту (Node Finder), що дозволяє ввести в файл часових діаграм вузли поточного проекту. У вікні «Шукати у» (Lock in) повинно бути вказано ім'я верхнього файлу проекту, або файлу, моделювання якого треба виконати. У вікні «Система пошуку» (Fitter) необхідно вказати які виводи необхідно шукати. Якщо необхідно вставити в файл часових діаграм всі вузли проекту, в цьому вікні необхідно вибрати команду «Виводи всі» (Pins: fll).

- для відображення обумовлених умовами пошуку виводів необхідно натиснути кнопку «Список» (List);

- в лівій частині вікна під заголовком «Знайдені вузли проекту» (Nodes Found) з'являється список знайдених вузлів проекту;

- для того щоб знайдені вузли були введені в файл часових діаграм, їх необхідно перемістити в праве підвікно з ім'ям «Вибрані вузли» (Selected Nodes). Цій меті служать розташовані в підвікні «Знайдені вузли проекту» (Nodes Found) та «Вибрані вузли» (Selected Nodes) кнопки, що виконують такі функції:

- перемістити виділений вузол з підвікна «Знайдені вузли проекту» (Nodes Found) в підвікно «Вибрані вузли» (Selected Nodes);

- перемістити всі вузли з підвікна «Знайдені вузли проекту» (Nodes Found) в підвікно «Вибрані вузли» (Selected Nodes);

- перемістити виділений вузол з підвікна «Вибрані вузли» (Selected Nodes); в підвікно «Знайдені вузли проекту» (Nodes Found);

- перемістити всі вузли з підвікна «Вибрані вузли» (Selected Nodes в підвікно) «Знайдені вузли проекту» (Nodes Found);

- після переміщення в праве підвікно всіх необхідних при моделюванні вузлів необхідно натиснути кнопку «ОК». З'являється вікно «Вставити вузол або шину» (Insert Node or Bas), в якому теж необхідно натиснути кнопку «ОК».

Після цього в файлі часових діаграм проекту з'являються осі для всіх вищевказаних сигналів:

- осі файлу часових діаграм, призначені для введення вхідних сигналів залишаються порожніми (не заповненими). Осі, призначені для відображення вихідних сигналів, відповідають невизначеним значенням;

- на часових діаграмах присутня вертикальна лінія часового маркера, який зображений у вигляді суцільної кольорової лінії. Положення цього маркера можна змінювати, використовуючи курсор. Значення сигналів, відповідне поточному положенню курсору, відображається в стовпці з ім'ям «Значення в ХХ момент часу» (Value at XX), де XX - час, відповідний поточному положенню маркерною лінії. Якщо на часових діаграмах необхідно відзначити деякі базові моменти часу, це можна зробити, використовуючи команду «Ввести часову мітку» (Insert Time Bar) в меню «Редагувати» (Edit). Відкривається вікно «Ввести часову мітку» (Insert Time Bar) в даному вікні необхідно вибрати час, відповідний базовому моменту часу та одиницю його виміру. Після натискання кнопки «ОК» пунктирна лінія, відповідна введеному часу, з'явиться на часових діаграмах. Тепер при переміщенні маркерною лінією над нею буде відображатися поточний час моделювання, а над лініями базових моментів часу, їх відстань (тривалість часового інтервалу) від маркерною лінії;

- при необхідності, будь-яку з введених ліній базових моментів часу, можна перетворити в маркерну лінію. Для цього на розташований у верхній частині лінії необхідно навести курсор і натиснути праву кнопку миші. З'являється вікно, що дозволяє:

- видалити лінію часу (Delete);

- використовувати дану лінію як маркерну (Make Master Time Bar);

- ввести лінію часу (Insert Time Bar);

- викликати вікно органайзера часових ліній (Time Bar Organizer), що дозволяє перепризначувати основні параметри ліній часу.

- виконати масштабування часових діаграм (Zoom).

Розглянута методика дозволяє ввести в файл часових діаграм будь-яку кількість використовуваних при моделюванні проекту вхідних і вихідних сигналів.

Використовуючи вікно «Вставити вузол або шину» (Insert Node or Bas) можна довільно ввести в файл часових діаграм потрібні сигнали. Для цього досить у графі «Ім'я» (Name) ввести назву необхідного сигналу, а в наступних рядках визначити його основні параметри.

1.4.7 Визначення параметрів моделювання

Система Quartus II дозволяє виконати моделювання, як усього проекту, так і його будь-якої складової частини. Типові стандартні параметри задаються системою моделювання автоматично при створенні нового проекту. При необхідності, ці параметри можна відредагувати. Для цього служить вікно «Властивості системи моделювання» (Simulator Tool), що викликається з розділу «Властивості» (Tools) головною командного рядка системи.

Дане вікно дозволяє визначити наступні параметри моделювання проекту:

* вибрати тип моделювання проекту (Simulation mode) - функціональне (Functional) або те, що враховує часові параметри вибраного типу ПЛІС (Timing)

* вибрати ім'я файлу, що містить вектор вхідного впливу для моделювання (Simulation Input). За замовчуванням, це ім'я файлу верхнього рівня проекту. При необхідності, ім'я необхідного файла можна знайти, використовуючи кнопку в правій частині вікна імені;

* розділ «Область моделювання» (Simulation Period) дозволяє задати моделювання або на всьому заданому інтервалі (Run simulation until all vector stimuli are used), або задати час закінчення моделювання, що не співпадає з часом, визначеному у файлі часових діаграм (End simulation at ...) . В останньому випадку задаються значення часу закінчення моделювання та одиниця його виміру;

* розділ «Варіанти вибору умов моделювання» (Simulation options) дозволяє визначити:

- функцію (режим) автоматичного додавання до часових діаграм вихідних виводів проекту (Automatically add pins to simulation output waveform);

- режим перевірки виходів (Check outputs);

- режим перезапису вихідного файлу моделювання з урахуванням результатів його виконання (Overwrite simulations input file with simulations results) Якщо цей режим не заданий, то файл вхідних впливів зберігається незмінним, тобто одночасно існує і вихідний файл і файл з результатами моделювання;

- режим генерації файлу активних сигналів (Generate signal activity file). У цьому випадку створюється файл - «Ім'я проекту. saf »Signal Activity Files. Це текстовий файл у форматі ASСII, що містить інформацію про частоті перемикання і дані про статичної ймовірності для проекту. Цей файл використовується при аналізі енергетичних характеристик проекти модулем PowerPlay Power Analysis системи.

1.4.8 Моделювання проекту

Запустити процес моделювання проекту можна двома різними способами:

* в меню «Обробка» (Processing) викликати команду «Запуск моделювання» (Start Simulation);

* в меню «Властивості» (Tools) викликати команду «Властивості системи моделювання» (Simulation Tolls) і у вікні натиснути кнопку «Пуск» (Start).

В обох випадках починається процес моделювання, який, у разі успішного виконання, закінчується появою вікна з часовими діаграмами.

При першому способі запуску процес моделювання закінчується відображенням в головному вікні системи файлу зі звітом про моделювання (Simulation Report) в лівій частині якого наведено часові діаграми, що пояснюють роботу проекту при заданих вхідних впливах.

При другому методі запуску для отримання необхідних часових діаграм необхідно натиснути кнопку «Відкрити» (Open).

Слід пам'ятати, що послідовність дій, виконувана при запуску процесу моделювання, залежить від її вихідних установок. Якщо виконується функціональне моделювання, та перед запуском системи моделювання необхідно створити список з'єднань проекту. Для цього у вікні «Властивості системи моделювання» (Simulation Tools) необхідно натиснути кнопку «Створити файл зі списком з'єднань для функціонального моделювання» (Generate Functional Simulations Netlist). Після його створення запускається система моделювання. Якщо виконується моделювання з урахуванням часових параметрів обраної ПЛІС, створення файлу зі списком з'єднань не вимагається і відразу запускається система моделювання.

Моделювання проекту виконується у фоновому режимі. Тому під час моделювання можлива робота з іншими вікнами системи або з іншими програмами, що працюють під операційною системою.

Під час моделювання, так само як і на інтервалі компіляції, працює процесор повідомлень, формуючи інформаційні повідомлення, попередження і повідомлення про помилки.

Аналіз отриманих результатів, при вимірюванні тривалості різних процесів, зручно використовувати лінії часу, а також іншу інформацію, що міститься у файлі звіту про моделювання (Simulation Report).

Якщо в полі часових діаграм або назв сигналів натиснути праву кнопку миші, з'явиться вікно, що дозволяє редагувати часові діаграми.

Доступні операції копіювання, видалення, створення груп сигналів і т.д.

1.4.9 Створення вихідних умов для проектування та використання редактора призначень

Після того, як створені проект і схема пристрою, для завдання її початкових параметрів, таких як призначення контактів, опції пристрою, логіки і часових параметрів, можна використовувати реалізовані в Quartus II вікна «Установок» (Settings) (меню Assignments), «Редактора призначень »(Assignment Editor) і «Редактора загальної топології структури» (Floorplan Editor). При необхідності можна імпортувати необхідні параметри за допомогою команди Import Assignment (меню Assignment) або експортувати їх командою Export (пункт меню File). Також можна імпортувати параметри з EDA synthesis tool, використовуючи Tcl команди або сценарії. ПО Quartus II забезпечений вбудованим помічником - Timing Wizard (меню Assignment), що вказує початкові часові параметри схеми. Багато параметрів доступні через команду Assign у швидкому меню MAX + PLUS II можуть бути також виконані за допомогою Assignment Editor і вікна Settings.

Редактор призначень (Assignment Editor) - це інтерфейс, призначений для завдання вимог до розроблюваного пристрою на рівні проекту в цілому. Він дозволяє попередньо обумовити такі вимоги до пристрою, як вимоги до його розміщення на кристалі ПЛІС, стандарту використовуваного введення-виведення інформації, часовим параметрам, логічним опціям (logic option), параметрами моделювання (симуляції), а так само виконати попереднє призначення контактів ПЛІС. У загальному випадку вікно редактора складається з п'яти закладок. Перша (Category) дозволяє вибрати параметр, зміна або завдання якого необхідно зробити. Друга - «Майстер призначення вузлів» (Node Fitter) дозволяє задати нові вузли в схемі, призначені, як правило, для перевірки її параметрів або працездатності. Третя частина вікна (Information) призначена для видачі довідкової інформації по вибраній категорії параметрів пристрою. Четверта частина призначена для редагування параметрів (Edit). У п'ятій частині відображені самі вибрані параметри пристрою.

При бажанні будь-яка з перерахованих закладок схеми може бути закрита або знову відкрита з використанням керуючих кнопок, розташованих в лівій частині вікна проекту.

Загальна методика роботи з вікном редактора призначень заключається в наступному:

1. Відкрити Assignment Editor.

2. Вибрати необхідну категорію в закладці Category.

3. Вказати відповідний вузол або блок в закладці Node Filter або використовувати Node Finder для пошуку необхідного вузла або об'єкта.

4. У таблиці, яка відображає поточні призначення для схеми додати нову або змінити існуючу інформацію про призначеннях.

Проілюструємо дану методику на прикладі призначення виводів ПЛІС.

Якщо перед виконанням компіляції виводи ПЛІС, на які необхідно вивести вхідні і вихідні сигнали, не булиі задані, компілятор автоматично виконує відповідні призначення. Ці призначення можна подивитися у звіті компілятора. Доступ до цих даних можливий по ланцюжку Tools > Compiler Tool > кнопка Fitter Report в закладці Fitter і далі по тексту звіту до розділів вхідні (Input Pins) і вихідні (Output Pins) виводи. До цих же данних приводить ланцюжок: Tools > Compiler Tool > Report > Fitter > Resource Section і далі розділи Input Pins, Output Pins або All Package Pins.

2. СИНТЕЗ СИНХРОННОГО КІНЦЕВОГО АВТОМАТА

2.1 Створення графа станів для синхронного кінцевого автомата

Створення графа станів для синхронного кінцевого автомата, реалізується на основі його алгориму функціонування.

Автомат має входи init та а, а також вихід z. Щоб вихід z дорівнював 0, потрібно на вхід init подати 1. Щоб вихід z дорівнював 1, потрібно щоб виконувалась умова: на вхід а повинні подаватись два послідовні такти (тобто, два незмінні такти а = 0 або два незмінні такти а = 1). Одиниця на вихід z, буде зберігатись до тих пір, поки на вхід init подається 0.

Рисунок 2.1 - Граф станів синхронного кінцевого автомата

2.2 Одержання VHDL коду в середовищі Quartus

library ieee;

use ieee.std_logic_1164.all;

entity synchr_avt is

port (clock, init, a : in std_logic;

z : out std_logic);

end synchr_avt;

architecture synchr_avt_arch of synchr_avt is

type state_values is (st1, st2, st3, st4, st5, st6, st7, st8);

signal pres_state, next_state : state_values;

begin

statereg: process (clock)

begin

if (clock = '1') then

pres_state <= next_state;

end if;

end process statereg;

fsm: process (pres_state, init, a)

begin

case pres_state is

when st1 =>

case init is

when '0' => next_state <= st2;

case a is

when '0' => next_state <= st2;

architecture behave of mealy is

type state_values is (st0, st1, st2, st3, st4);

signal pres_state, next_state: state_values;

begin

statereg: process (clock, reset)

begin

if (reset = '0') then

pres_state <= st0;

elsif (clock'event and clock = '1') then

pres_state <= next_state;

end if;

end process statereg;

fsm: process (pres_state, data_in)

begin

case pres_state is

when st0 =>

case data_in is

when "00" => next_state <= st0;

when "01" => next_state <= st4;

when "10" => next_state <= st1;

when "11" => next_state <= st2;

when others => next_state <= st0;

end case;

when st1 =>

case data_in is

when "00" => next_state <= st0;

when "10" => next_state <= st2;

when others => next_state <= st1

end case;

when st2 =>

case data_in is

when "00" => next_state <= st1;

when "01" => next_state <= st1;

when "10" => next_state <= st3;

when "11" => next_state <= st3;

when others => next_state <= st0;

end case;

when st3 =>

case data_in is

when "01" => next_state <= st4;

when "11" => next_state <= st4;

when others => next_state <= st3;

end case;

when st4 =>

case data_in is

when "11" => next_state <= st4;

when others => next_state <= st0;

end case;

when others => next_state <= st0;

end case;

end process fms;

outputs: process (pres_state, data_in) -- Процесс Quartus

ВИСНОВКИ

На даному етапі синтезу синхронного кінцевого автомата, зібрана в повному обсязі необхідна кількість інформації про методику програмування ПЛІС. Зібрана необхідна кількість інформації для отримання VHDL-коду, синхронного кінцевого автомата за допомогою програмних засобів.

Використовуючи HDL Coder, інженери та конструктори можуть провести більше часу при налаштуванні алгоритмів і моделей через швидкодіюче макетування й експериментування, і менше часу на безпосереднє написання HDL коду.

Коли модель задовольняє поставленим вимогам, Coder проводить перевірку сумісності описаної моделі і HDL коду. Після перевірки Coder генерує код опису пристрою в VHDL або Verilog.

За допомогою HDL Coder в програмному середовищі Quartus згенеровано VHDL-код, який був отриманий на основі описання моделі синхронного кінцевого автомата.

ПЕРЕЛІК ПОСИЛАНЬ

1. Гусев, В.Г. Электроника и микропроцесорная техника [Текст] / Ю.М. Гусев - М.: Высш. школа, 2005. - 790 с.: ил.

2. Денисенко, Е.Л. Иерархический синтез асинхронных автоматов на программируемых логических интегральных схемах (ПЛИС) с учетом ограничений [Текст] / М.: УсИМ, 1997. - 476 стр.

3. Закревский, А.Д. Логический синтез каскадных схем [Текст] / М.: Наука, 1981. - 416 стр.

4. Миловзоров, В.П. Электромагнитные устройства автоматики: Учебник для вузов. - 4-е узд., перераб. и доп. [Текст] / М.: Высш. школа, 1983. - 408 с., ил.

5. Потемкин, И.С. Функциональные узлы цифровой автоматики [Текст] / М.: Энергоавтомиздат, 1988. - 230 с.

6. Соловьев, В.В. Методы синтеза произвольной логики на программируемых логических устройствах [Текст] / Д. И. Самаль - М.: Автоматика и вычислительная техника, 1997. 561 стр.

7. Токхейм, Р.Б. Основы цифровой электроники [Текст] / М.: Мир, 1988. - 392 стр.

8. Шило, В.Г. Популярные цифровые микросхемы: Справочник.- 2-е изд. [Текст] / М.: Радио и связь, 1989. - 352 с.

Размещено на Allbest.ru


Подобные документы

  • Огляд елементної бази, що застосовується для побудови логічних керуючих автоматів з паралельною архітектурою. Аналіз систем автоматизованого проектування логічних керуючих автоматів на основі ПЛІС, їх різновиди і відмінні особливості, тенденції розвитку.

    курсовая работа [478,2 K], добавлен 25.09.2010

  • Процес формування сигналу-коду та його перевірка. Ескізне проектування, електрична структурна схема, основні аспекти роботи системи. Розробка моделі на мові VHDL, генерація кодової послідовності, схеми мультиплексорів та реалізація приймача сигналу.

    курсовая работа [422,6 K], добавлен 18.09.2010

  • Короткий огляд систем автоматизації проектування електроніки: Quartus II, KiCad, MAX + PLUS II. Розробка охоронного пристрою на основі мікроконтролера за допомогою пакету Proteus VSM. Розрахунок споживаної потужності, пошук і усунення несправностей.

    курсовая работа [990,9 K], добавлен 10.05.2014

  • ПЛІС сімейства FLEX10K: загальні відомості. Радіоелектронний пристрій, в склад якого входить комірка інформаційного обміну. Технічні вимоги до комірки інформаційного обміну. Мова опису апаратури цифрових систем VHDL. Розрахунок надійності комірки.

    дипломная работа [4,0 M], добавлен 08.09.2014

  • Синтез операційного автомата. Аналіз вхідних даних. Розробка функціонального алгоритму. Розробка структурної схеми автомата. Синтез керуючих автоматів з жорсткою та програмованою логікою. Формування схеми автомата Мура. Методика синтезу автомата Мілі.

    курсовая работа [6,3 M], добавлен 11.02.2011

  • Засоби завдання автоматів з пам’ятю. Структурний синтез автоматів Мура та Мілі. Кодування вхідних сигналів і станів. Побудова кодованої таблиці переходів і виходів автомата. Мінімізація функції збудження. Вибір з довідника елементів схеми та їх параметри.

    курсовая работа [813,1 K], добавлен 06.11.2013

  • Методи моделювання динамічних систем. Огляд методів синтезу. Математичне забезпечення вирішення задачі системи управління. Моделювання процесів за допомогою пакету VisSim. Дослідження стійкості системи управління. Реалізація програмного забезпечення.

    дипломная работа [3,8 M], добавлен 07.11.2011

  • Основні можливості пакету Image Processing. Дослідження методів перетворення цифрових зображень в середовищі Matlab. Відновлення розмитого зображення за допомогою команди deconvblind, його геометричні перетворення. Зашумлення зображення функцією motion.

    курсовая работа [2,4 M], добавлен 05.02.2015

  • Описание модели регистрового запоминающего устройства общего назначения и характеристика параметров его микропроцессора. Построение параметрического блока для хранения данных входного и выходного сдвигателя. Описание библиотек запоминающего устройства.

    лабораторная работа [179,4 K], добавлен 02.04.2015

  • Структурно–функциональное описание счетчика. Построение функциональной схемы синхронного автомата для 4-разрядного счетчика. Кодирование состояний автомата по критерию надежности функционирования. Логическое моделирование схемы функционального теста.

    контрольная работа [105,8 K], добавлен 14.07.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.