Разработка системы сжатия эхо-сигналов различной длительности

Методы реализации цифровых фильтров сжатия и их сравнение. Разработка модуля сжатия сложных сигналов. Разработка структурной схемы модуля и выбор элементной базы. Анализ работы и оценка быстродействия. Программирование и конфигурирование микросхем.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 07.07.2012
Размер файла 5,7 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

- синусоидальная вибрация: амплитуда ускорения - 3g, диапазон частот - 1-200 Гц;

- механический удар многократного действия: пиковое ускорение - 15g, длительность действия ударного ускорения -5 - 10 мс.

- модуль должна сохранять работоспособность на высотах до 2000м.

8.3 Конструктивное построение блока

Блок размещен в двухсекционной типовой секции базовой несущей конструкции (БНК) типа "Единство". С целью обеспечения возможности ремонта все механические соединения выполняются разъёмными. Ячейки вводятся в блок по направляющим и закрепляются болтами. Это позволяет обеспечить высокую скорость замены вышедшей из строя ячейки.

На аппаратуру воздействуют четыре вида механических нагрузок:

1. Вибрация

2. Удары

3. Линейные и центробежные ускорения

4. Шум и акустические удары

Разрабатываемая конструкция должна быть предельно жесткой, но и механически прочной, без излишних неоправданных затрат по массе.

Изготовление каркасной конструкции шасси осуществляется с применением сварочных и резьбовых соединений

На лицевой панели блока должны размещаться элементы с гравировкой. Разводка цепей вторичного питания на разъемы осуществлена с помощью объединительных плат (ОДПП). На ОДПП установлены фильтрующие конденсаторы типа К10-17-2б-Н90-0,68мкф. Также установлены шины для обратных проводов скруток у разъемов.

В блоке используется встроенный стандартный источник вторичного электропитания, распределяющий напряжения 5В и 3В. Он обеспечивает аппаратуру стабилизированным напряжением при электропитании от однофазной сети переменного тока напряжением 220В. Субблок питания обеспечивает защиту от:

- перегрузки по току нагрузки и короткого замыкания.

- снижения выходного напряжения и перенапряжения по выходу.

- снижения напряжения питающей сети до уровня 180…190В.

Масса субблока - не более 1,3 кг.

Вид охлаждения субблока - воздушное принудительное в составе базовой несущей конструкции (БНК).

Конструктивно субблок выполнен в виде универсальной конструкции, встраиваемой в БНК блока МДФ и имеет защитные экраны, исключающие возможность прикосновения к элементам, находящимся под напряжением более 36В.

Все цепи длиной более 50 мм, выполнены витой парой проводов. Жгуты цепей питания и автоматики проложены отдельно от сигнальных цепей.

В конструкции блока используется воздушное охлаждение.

Блок удовлетворяет требованиям ГОСТ Р 52070-2003.

8.4 Выводы

В предыдущих двух главах были рассмотрены основные требования на конструктивное построение модуля. Выбран и обоснован принцип конструирования. Приведена и рассмотрена принципиальная электрическая схема устройства. Также кратко рассмотрена коррозийная стойкость и обоснован выбор материалов. Выбрана конструкция печатной платы, а также исследованы особенности монтажа. Приведен перечень основных технологических операций изготовления МПП, рассмотрен процесс изготовления многослойной печатной платы. Также был произведен расчет надежности модуля. В результате этого расчета среднее время наработки на отказ Тср=240194 час, что полностью удовлетворяет требованиям. В следующей главе необходимо рассмотреть экономические аспекты разработки устройства.

9. Экономическая часть

9.1 Введение

В нынешней экономической ситуации у предприятий появляются большие возможности для выбора типа деятельности, дальнейшего совершенствования, развития выхода на мировой рынок и т.п. Но для того, чтобы в условиях свободного рынка продукция предприятия пользовалась повышенным спросом, она должна содержать в себе множество различных свойств и удовлетворять большому числу требований как внутри страны (стандарт ГОСТ Р ИСО 9001-96), так и международным стандартом (ISO - 9000). На сегодняшний день только такие предприятия являются благополучными.

При создании нового прибора или устройства исследователи и конструкторы всегда должны учитывать не только техническую, но и экономическую сторону проводимой разработки. Экономический анализ дает возможность выбрать наиболее эффективный вариант новой техники, способствует внесению в создаваемые конструкции таких улучшений, которые позволили бы получить нужные результаты при наименьших материальных, трудовых и денежных затратах. Оптимальным вариантом достижения таких решений является прогрессивная форма планирования - бизнес-план.

Бизнес-план - это краткое, точное и ясное описание целей нового или действующего бизнеса, а также средств и способов их достижения.

Бизнес-план позволяет сделать оценку текущего состояния экономики, сильных и слабых сторон производства, показать достоинства и выгоду предполагаемого проекта и привлечь инвестора, который вложит свои средства в тот проект, который с достаточной вероятностью гарантирует ему получение максимальной прибыли.

Данный бизнес-план посвящен разработке модуля сжатия сложных радиолокационных сигналов.

9.2 Предприятие и отрасль, в котором оно занято

Предприятие разрабатывает различные образцы радиолокационной техники. Специализируясь, в основном на радиотехнике, телемеханике, спецрадиосвязи и автоматике.

9.3 Описание организации работ

Данная работа относится к классу ОКР с элементами НИР, поскольку помимо основной части работ - разработки конструкторской документации, рассматривается так же и разработка технического проекта. [17].

Однако, так как элементы НИР незначительны, основные расчеты экономической части выполняются в соответствии с требованиями, предъявляемыми для работ класса ОКР.

9.4 Описание продукта

В радиолокации всегда остро стоит проблема оптимальной обработки сигнала, для последующего принятия решения о наличии цели. Для этих задач может быть применена фильтровая обработка, корреляционная, а также обработка с использованием ДПФ и БПФ. Наиболее подходящим способом является корреляционная обработка (сжатие), т.к. при такой обработке теоретически более достижима работа в реальном масштабе времени.

Модуль сжатия производит обработку сигнала в основном канале. Необходимость разработки вызвана тем, что аналог имел устаревшую элементную базу, а также был существенно менее точен. В качестве современной элементной базы в данном устройстве используются ПЛИС. Основными преимуществами ПЛИС при применении в средствах обработки сигналов являются:

· высокое быстродействие;

· возможность реализации сложных параллельных алгоритмов;

· наличие средств САПР, позволяющих провести полное моделирование системы;

· возможность программирования или изменения конфигурации непосредственно в системе;

· совместимость при переводе алгоритмов на уровне языков описания аппаратуры (VHDL, AHDL, Verilog и др.);

· совместимость по уровням и возможность реализации стандартного интерфейса;

· наличие библиотек мегафункций, описывающих сложные алгоритмы;

· архитектурные особенности ПЛИС как нельзя лучше приспособлены для реализации таких операций, как умножение, свертка и т.п.

Использование современной элементной базы повышает надёжность, ремонтопригодность, уменьшая габариты устройства и затраты на его производство.

Основным заказчиком предприятия является государство, а именно, Министерство Обороны РФ. В выпускаемой нами продукции заказчика привлекает высокое качество, современность технологий, специализация предприятия.

Источником финансирования является договор.

В рамках договора исполнители обязуются разработать устройство в срок и в полном объёме. Приём продукта осуществляет представитель заказчика.

9.5 Оценка рынка и конкурентоспособности

Разрабатываемый прибор не имеет серьезных конкурентов, как на российском, так и на зарубежном рынке.

Единственно возможная конкуренция - с предшествующими поколениям устройств, подобных разрабатываемому, крайне незначительна, так как в подавляющем большинстве случаев новое устройство значительно превосходит предшественников.

9.6 Маркетинг

Разрабатываемое изделие - модуль сжатия сложных радиолокационных сигналов входит в состав различных радиолокационных комплексов, в устройстве применена современная электрорадиоэлектронная база, что повысило надежность, точность, а так же уменьшило габаритные параметры. Применение дорогих материалов и технологий обеспечивает повышенные технологические и покупательские требования, предъявляемые к данному виду продукции, что и обуславливает высокую цену изделия.

Существует два пути продвижения изделия - это напрямую внутреннему клиенту, как уже говорилось выше Министерству обороне, либо в третьи страны через посредника, которыми с большой вероятностью станет «Рособоронэкспорт» ввиду специфики продукции. Главное достоинство аппаратуры - ее надежность и низкая стоимость (по сравнению с зарубежными аналогами), это то, на чем необходимо акцентировать внимание возможного клиента, также необходимого его убедить в том, что фирма производитель берет на себя все обязательства связанные с гарантийным и пост-гарантийным обслуживанием в течение всего срока службы изделия.

Для дальнейшего развития данной отрасли предприятия необходима модернизация производства (закупки новых технологий и оборудования), а так же используя огромный научный потенциал коллектива, что дает возможность создания новых, более современных и конкурентоспособных радиоэлектронных устройств. В условиях рыночной экономики это условие является гарантом обеспечения будущего для предприятия.

9.7 Организация производства

Предприятие на данный момент можно отнести к опытному или мелкосерийному производству, годовой выпуск продукции не превышает нескольких единиц в год, но это нельзя назвать низким показателем, так как институт считается проектной организацией и в его задачи не входит промышленный выпуск данной продукции, а лишь ее разработка.

Основными поставщиками материалов являются различные предприятия радиоэлектронной промышленности, производящие радиоэлектронные компоненты. Также поставщиками являются некоторые заводы по металлам и сплавам для несущих конструкций.

Для изготовления продукции используется оборудование станкостроительных заводов, заводов специальных приборов. При внедрении прогрессивных технологий, необходима закупка новых типов оборудования, как в России, так и за рубежом. Для работы на новом оборудовании и с новыми технологиями, будет необходимо повышение квалификации персонала, увеличение заработной платы, привлечение консультантов, которые помогут внедрить новые технологии на наше предприятие, а также менеджеров высокого звена из экономических структур, способных организовать производство.

Так же важным аспектом для предприятия является кадровый вопрос, в связи с низким уровнем зарплат, вызванным экономическим кризисом в стране и недостаточным финансированием госпредприятий, произошла утечка высококвалифицированных кадров. Для восстановления кадрового состава необходимо привлечение опытных специалистов, при условии предоставления соответствующей зарплаты.

Необходимо отметить, что на предприятии есть базовая кафедра, где ведущие специалисты преподают на высоком уровне основные дисциплины студентам, которые могли бы восполнить кадровый дефицит.

9.8 Этапы разработки

Согласно ГОСТ 15.001-73 установлены следующие этапы выполнения ОКР:

1) разработка ТЗ на ОКР;

Составление проекта ТЗ заказчиком. Проработка проекта ТЗ исполнителем.

Согласование и утверждение ТЗ.

2) техническое предложение;

Выявление дополнительных или уточненных требований к изделию, его техническим характеристикам и показателям качества, которые не могут быть указаны в ТЗ:

· проработка результатов НИР;

· проработка результатов прогнозирования;

· изучение научно-технической информации;

· предварительные расчеты и уточнение требований ТЗ.

3) эскизное проектирование;

Разработка принципиальных технических решений:

· выполнение работ по этапу технического предложения,

· выбор элементной базы разработки;

· выбор основных технических решений;

· разработка структурных и функциональных схем изделия;

· выбор основных конструктивных элементов;

· разработка и испытание макетов.

4) техническое проектирование;

Окончательный выбор технических решений по изделию в целом и его составным частям:

· разработка принципиальных электрических, и других схем;

· уточнение основных параметров изделия;

· проведение конструктивной компоновки изделия и выдача данных для его размещения на объекте;

· разработка проектов ТУ на поставку и изготовление изделия;

· испытание макетов основных приборов изделия в натурных условиях.

5) разработка рабочей документации для изготовления и испытаний опытного образца;

Формирование комплекта конструкторских документов:

· разработка полного комплекта рабочей документации;

· согласование ее с заказчиком и заводом-изготовителем серийной продукции;

· проверка конструкторской документации на унификацию и стандартизацию;

· изготовление в опытном производстве опытного образца;

· настройка и комплексная регулировка опытного образца.

6) изготовление и испытание опытного образца;

Проверка соответствия опытного образца требованиям ТЗ:

· стендовые испытания;

· предварительные испытания на объекте;

· испытания на надежность.

К организационной части проекта относится анализ подразделения, участвующего в проведении данной работы и его состава. Структура отдела- разработчика представляет собой совокупность подразделений, объединенных единым направлением научно-технических работ. Структура отделения разработчика показана на рисунке 9.1.

Рисунок 9.1 Структура отделения разработчика

Таблица 9.1

работы

Наименование работы

Должность

Трудоем-кость,

чел. дн

Числен-ность

Длительность работы, дн

1

Разработка ТЗ на ОКР

Нач.сектора

Ст.инженер

20

20

1

1

20

2

Техническое предложение

Ст.инженер

Инж.-разработчик IIй кат.

Инж.-конструктор IIй кат.

15

15

15

1

1

1

15

3

Эскизное проектирование

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

25

25

1

1

25

4

Техническое проектирование

Ст.инженер

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

25

25

25

1

1

1

25

5

Разработка рабочей документации

Нач.сектора

Ст.инженер

Инж.-конструктор IIй кат.

Инж.-разработчик IIй кат.

20

20

20

20

1

1

1

1

20

6

Изготовление и испытание опытного образца

Нач.сектора

Ст.инженер

Инж.-разработчик IIй кат.

Начальник ОП

Технолог

Монтажник ЭРЭ

Регулировщик

45

45

45

45

45

45

45

1

1

1

1

1

1

1

45

7

Итого

150

График сроков выполнения опытно-конструкторских работ(график Ганта [18]) приведен на Рисунок 9.2.

Рисунок 9.2 График сроков выполнения опытно-конструкторских работ

9.9 Финансовый план

Все работы финансируются из государственного бюджета, прямым сметным финансированием.

9.10 Расчет сметной стоимости ОКР

При разработке устройства сжатия расходы осуществляются по следующим статьям:

· Покупные комплектующие изделия.

· Транспортно-заготовительные расходы.

· Основная заработная плата исполнителей ОКР.

· Дополнительная заработная плата исполнителей ОКР.

· Отчисления на социальные нужды.

· Накладные расходы.

1) Покупные комплектующие изделия (ПКИ).

К этой статье относится стоимость покупных изделий, комплектующих изделий, расходуемых непосредственно в процессе ОКР. Стоимость приведена в таблице 9.2

Таблица 9.2

п/п

Наименование

Кол-во

шт.

Стоимость ед. изделия (руб.)

Итого,

(руб.)

1

К53-56-16В-33 мкФ ± 20 % АЖЯР.673546.001ТУ

10

200

2000

2

К10-17В-H90-0,1 мкФ ОЖО.460.107ТУ

10

9

90

9

К10-17В-H90-0,068-2 мкФ ОЖО.460.107ТУ

31

9

279

10

Микросхема EP2C70F896C6N Altera (США)

1

21000

21000

11

Микросхема 142ЕН12 бКО.347.098-11ТУ

1

700

700

12

Микросхема EPCS16SI16N Altera (США)

1

500

500

13

P1-12-0,1-53 Ом ±5% -Н

3

5

15

14

P1-12-0,1-300 Ом ±5% -Н

1

11

11

15

P1-12-0,1-1 кОм ±5% -Н

4

15

60

16

P1-12-0,1-10 кОм ±5% -Н

4

10

40

17

Индикатор единичный 3Л341Г аАО.339.189ТУ

1

290

290

18

Вилка СНП269-224ВП41-4 РЮМК.430420.006ТУ

1

1680

1680

19

Вилка СНП346-10ВП22-2-В РЮМК.430420.011ТУ

2

150

300

20

Канцтовары

-/-

500

ИТОГО ( +транспортные расходы):

31584

2) Транспортно-заготовительные расходы (ТЗР) составляют 15% от общей стоимости материалов, покупных и комплектующих изделий:

ТЗР = 27465 0,15 = 4119 руб. (9.1)

3) Основная заработная плата исполнителей опытно-конструкторских работ. На данную статью относится основная заработная плата научных сотрудников, участвующих в выполнении конкретной ОКР. Размер основной заработной платы устанавливается исходя из численности различных категорий исполнителей, трудоёмкости, затрачиваемой ими на выполнение отдельных видов работ, и их средней ставки за один рабочий месяц.

Основная заработная плата (ОЗП) разработчиков рассчитывается по следующей формуле:

(9.2)

где: МО - должностной оклад разработчика, ТФ - количество фактически отработанных дней, ТРД - число рабочих дней в месяце (ТРД = 22 дня).

4) Дополнительная заработная плата (ДЗП) исполнителей ОКР. К ней относятся выплаты, предусмотренные законодательством за не проработанное время: оплата очередных и дополнительных отпусков, выплаты вознаграждений за выслугу лет и др. В научных учреждениях дополнительная заработная плата составляет 20 % от основной:

ДЗП = 239302 0.2 = 47860 руб. (9.3)

Фонд оплаты труда вычисляется как сумма ОЗП и дзп:

ФОТ = 239302 + 47860 = 287162 руб. (9.4)

Основная заработная плата исполнителей ОКР приведена в таблице 9.3.

Таблица 9.3

Этапы

Длитель-ность в чел.дн.

Должность

Мес. оклад в руб.

Оплата за день руб.

Сумма

полученная за работу в руб.

1

ТЗ

20

Нач. сектора

18000

818

16360

20

Ст. инженер

15000

681

13620

2

ТП

15

Ст. инженер

15000

681

10215

15

Инж.-конструктор IIй кат.

12000

545

8175

15

Инж.-разработчик IIй кат.

12000

545

8175

3

Эскизное проектирова-ние

30

Инж.-конструктор IIй кат.

12000

545

16350

30

Инж.-разработчик IIй кат.

12000

545

16350

4

Техническое проектирова-ние

20

Ст. инженер

15000

681

13620

20

Инж.-конструктор IIй кат.

12000

545

10900

20

Инж.-разработчик IIй кат.

12000

545

10900

5

Разработка

рабочей документации

10

Нач. сектора

18000

818

8180

10

Ст. инженер

15000

681

6810

20

Инж.-конструктор IIй кат

12000

545

10900

20

Инж.-разработчик IIй кат.

12000

545

10900

6

Изготовление и испытание опытного образца

5

Нач. сектора

18000

818

4090

7

Ст. инженер

15000

681

4767

10

Инж.-разработчик IIй кат.

12000

545

5450

20

Начальник ОП

13000

590

11800

40

Технолог

10000

454

18610

40

Монтажник ЭРЭ

10000

454

18610

40

Регулировщик

8000

363

14520

7

Итого

239302

5)Отчисления на социальные нужды. Отчисления на социальные нужды (СН) определяются в процентном отношении (35.6%) от суммы основной и дополнительной заработных плат, т.е от ФОТ.

ЕСН = 0.356 ФОТ (9.5)

ЕСН = 0,356 287162 = 102230 руб.

6) Накладные расходы.

В накладных расходах учитываются расходы на управление и хозяйственное обслуживание, заработная плата аппарата управления и общехозяйственных служб, затраты на содержание и текущий ремонт зданий, сооружений, оборудования и инвентаря, амортизационные отчисления на их полное восстановление и ремонт, расходы по охране труда

Накладные расходы (НР) составляют 250% от основной заработной платы:

НР = 2.5 ОЗП = 2.5 225406 = 598255 руб. (9.6)

Необходимо рассчитать норматив прибыли (НП). Он составляет 30% от стоимости разработки (СР):

НП = СР х 30% = 1019231х0,3 = 305770 руб. (9.7)

Договорная цена (ДЦ) может быть найдена как:

ДЦ = СР + НП = 1 019231 + 305770 = 1 325 001 руб.

Сметная стоимость (СС) ОКР представлена в таблице 9.4.

Таблица 9.4

Наименование статей расхода

Стоимость (руб.)

1

Покупные изделия + тр. р

31 584

2

Специальное оборудование

-

3

Основная заработная плата персонала

219 956

4

Дополнительная заработная плата персонала

43 991

5

Отчисления в фонды

93 965

6

Расходы на научные и производственные командировки

21 995

7

Оплата работ, выполненных сторонними организациями и предприятиями

-

8

Прочие прямые расходы

-

9

Накладные расходы

549 890

10

ИТОГО затраты на проект СС:

961 381

11

Цена

1 249 795

12

НДС

-

13

Норматив прибыли

288 414

14

Договорная цена

1 249 795

9.11 Техническо-экономической обоснование целесообразности выполнения работ

Годовой экономический эффект рассчитывается по формуле

ЭГ= [(Uа + Eн Kа) - (Uпр + Eн Кпр)] N > 0 (9.9)

где: Uа и Uпр - годовые эксплуатационные расходы по аналогичному и проектируемому изделию, Eн - коэффициент экономической эффективности капитальных вложений ( 0,2), Kа и Кпр - цена аналогичного и проектируемого изделия, N = 10 - число потенциальных потребителей.

Эксплуатационные расходы аналогичного и проектируемого изделий примерно равны, поэтому можно пользоваться формулой интегрального экономического эффекта:

ЭГ = (Kа - Кпр) N (9.10)

ЭГ = (1 345 000 - 1 325001) 10 = 199990 руб.

В результате выполнения организационно-экономической части дипломного проекта было доказано, что внедрение в производство новой модификации устройства несёт выгоды.

Сравнительные показатели по базовому и новому вариантам представлены в Таблице 9.5.

Таблица 9.5

Критерий

«образец»

баллы

Аналог

баллы

Экономия

руб

1. Надежность

8

7

10000

2. Быстродействие

9

7

20000

3. Эффективность

9

7

20000

4. Безотказность

8

7

10000

5. Безопасность

8

8

-

6. Возможность модернизации

9

7

20000

В результате сравнения новой разработки и базового варианта обнаруживается ряд существенных преимуществ в пользу новой разработки. Повышается надежность, быстродействие, точность новой разработки ячейки АКП за счет применения современной, более быстродействующей элементной базы, а именно использование ПЛИС, которая позволяет так же снизить энергопотребление и уменьшить габариты конечного устройства.
В связи с постоянным обновлением элементной базы, а также появлением новых быстродействующих микросхем с меньшей потребляемой мощностью электроэнергии возникает возможность модернизации. Одним из главных же преимуществ использования ПЛИС является возможность программирования или изменения конфигурации устройства непосредственно в системе, что позволяет корректировать работу блока без необходимости смены ячейки.
Новая разработка ячейки сжатия, входящей в состав различных радиолокационных систем увеличит их быстродействие, точность, надежность, в среднем, на 15%, что повысит ее конкурентоспособность на рынке и поможет найти своего потребителя не только в России, но и за рубежом.

9.12 Использование программно-аппаратных средств

Персональный компьютер:

Intel® Core™ i7 3,16 GHz, RAM 4 GB, HDD 700 Gb.

Программные стредства:

1. Quartus II v9.0.

2. MathCad 14.

3. MathWorks Matlab 10 & Simulink 10.

4. Microsoft Office 2010.

5. Microsoft Visio 2010.

9.13 Выводы

В экономической части дипломной работы, приводится описание новой конструкции модуля сжатия радиолокационных сигналов сложной формы, доказывается что новая элементная база не только позволяет уменьшить габариты устройства и упростить его настройку, но и является более выгодной с экономической точки зрения. Рассмотрены этапы ОКР продукта и наиболее вероятные сроки их выполнения. Проведён расчёт сметной стоимости (СС) ОКР, которая составила 1 325 001 рублей. Годовой экономический эффект составил 199 990 рублей, что говорит о целесообразности изготовления проектируемого изделия.

В результате проделанной работы произведено технико-экономическое обоснование разработки, приведен график сроков выполнения работ, по которому определено время изготовления изделия (в течение 145 дней).

В связи с обновлением элементной базы и в связи с применением более быстродействующих микросхем с меньшей потребляемой мощностью, а также возможностью их перепрограммирования в системе и возникает потребность в разработке новых схемных решений, позволяющих получить цифровые устройства, выигрывающие у ранних аналогов в таких важнейших параметрах как экономия мощности потребления, увеличение надежности устройств в целом, увеличением производительности устройств (наличие возможности обработки более быстрых и объемных потоков информации), упрощение технологии производства. В данной разработке наблюдается существенное улучшение технических характеристик (экономия мощности потребления, а так же увеличение надежности и точности устройства).

Также эффективность разработки данного изделия заключается в экономии, которая складывается за счет сокращения времени на разработку в связи с автоматизацией процесса разработки. Все проектирование производится с применением систем САПР: MathCAD, Microsoft Visio и Quartus II. Таким образом достигается экономия по времени на разработку, и соответственно экономия средств, затраченных на разработку (в том числе и затраты на заработную плату разработчиков) за это время.

10. Экологичность и безопасность проекта

10.1 Введение

В данном проекте рассматривается модуль выполняющий обработку сигналов для РЛС. Из того, что модуль установлен в РЛС, следует, что на определенном расстоянии от устройства находится мощный электромагнитный излучатель.

Однако оператор станции при испытаниях системы находится на рабочем месте оператора (РМО), которое в свою очередь тоже находится на определенном расстоянии от облучателя. В данной части дипломного необходимо произвести оценку уровней воздействия электромагнитных полей (ЭМП) на оператора станции. В случае если они превышают нормы указанные в «ГОСТ 12.1.006-84. ССБТ. Электромагнитные поля радиочастот. Допустимые уровни на рабочих местах и требования к проведению контроля», и если не соответствуют требованиям по защите персонала от воздействия электромагнитных полей, указанным в СанПиН 2.2.4.1329-03, необходимо произвести расчет защитного экрана или произвести оценку ослабления ЭМП ввиду особенностей расположения и конструкции РМО.

10.2 Влияние электромагнитного излучения на человека

Экспериментальные данные свидетельствуют о высокой биологической активности ЭМП во всех частотных диапазонах. При высоких уровнях облучающего ЭМП принято говорить о тепловом механизме воздействия. При низком уровне ЭМП современная теория признает нетепловой или информационный характер воздействия на организм. Механизмы действия ЭМП в этом случае еще мало изучены.

Наиболее чувствительные системы организма человека: нервная, иммунная, эндокринная и половая. Биологический эффект электромагнитных полей в условиях длительного многолетнего воздействия накапливается, в результате возможно развитие отдаленных последствий, включая дегенеративные процессы центральной нервной системы, рак крови (лейкозы), опухоли мозга, гормональные заболевания.

По тяжести влияния электромагнитное излучение может не восприниматься человеком вообще или же привести к полному истощению с функциональным изменением деятельности мозга и смертельному исходу. Более всего характерны проявления и неблагоприятны последствия воздействий СВЧ электромагнитных волн.

10.3 Оценка опасности для персонала при воздействии ЭМП

ЭМП радиочастот следует оценивать показателями интенсивности поля и создаваемой им энергетической нагрузкой.

В диапазоне частот 60 кГц…300 МГц интенсивность ЭМП характеризуется напряженностью электрического (Е) и магнитного (Н) полей, энергетическая нагрузка (ЭН) представляет собой произведение квадрата напряженности поля на время его воздействия. Энергетическая нагрузка, создаваемая электрическим полем, равна:

, (10.1)

магнитным:

. (10.2)

Предельно допустимые значения Е и Н в диапазоне частот 60 кГц…300 МГц на рабочих местах персонала следует определять исходя из допустимой энергетической нагрузки и времени воздействия по формулам:

; (10.3)

и - предельно допустимые значения напряженности электрического, В/м, и магнитного, А/м, поля;

Т - время воздействия, ч;

и - предельно допустимые значения энергетической нагрузки в течение рабочего дня, и .

В диапазоне частот 300 МГц…300 ГГц интенсивность ЭМП характеризуется поверхностной плотностью потока энергии (далее плотность потока энергии - ППЭ), энергетическая нагрузка представляет собой произведение плотности потока энергии поля на время его воздействия .

Максимально допустимые значения , и , указаны в таблице 10.1.

Таблица 10.1

Параметр

Предельные значения в диапазонах частот, МГц

от 0,06 до 3

св. 3 до 30

св. 30 до 300

500

300

80

50

-

-

20000

7000

800

200

-

-

Предельно допустимые значения ППЭ ЭМП в диапазоне частот 300МГц…300ГГц следует определять исходя из допустимой энергетической нагрузки и времени воздействия по формуле

(10.4)

где - предельно допустимое значение плотности потока энергии,

(, );

- предельно допустимая величина энергетической нагрузки, равная

();

K - коэффициент ослабления биологической эффективности, равный:

1 - для всех случаев воздействия, исключая облучение от вращающихся и сканирующих антенн;

10 - для случаев облучения от вращающихся и сканирующих антенн с частотой вращения или сканирования не более 1 Гц и скважностью не менее 50;

Т - время пребывания в зоне облучения за рабочую смену, ч.

Во всех случаях максимальное значение не должно превышать ().

10.4 Средства и методы защиты от электромагнитного излучения.

Защита персонала от воздействия электромагнитных полей радиочастот (ЭМИ РЧ) осуществляется путем проведения организационных и инженерно-технических, лечебно-профилактических мероприятий, а также использования средств индивидуальной защиты.

К организационным мероприятиям относятся: выбор рациональных режимов работы оборудования; ограничение места и времени нахождения персонала в зоне воздействия ЭМИ РЧ (защита расстоянием и временем) и т.п.

Инженерно-технические мероприятия включают: рациональное размещение оборудования; использование средств, ограничивающих поступление электромагнитной энергии на рабочие места персонала (поглотители мощности, экранирование, использование минимальной необходимой мощности генератора); обозначение и ограждение зон с повышенным уровнем ЭМИ РЧ.

Лечебно-профилактические мероприятия осуществляются в целях предупреждения, ранней диагностики и лечения нарушений в состоянии здоровья работника, связанные с воздействием ЭМИ РЧ, и включают предварительные при поступлении на работу и периодические медицинские осмотры. К средствам индивидуальной защиты относятся защитные очки, щитки, шлемы, защитная одежда (комбинезоны, халаты и т.д.).

Способ защиты в каждом конкретном случае должен определяться с учетом рабочего диапазона частот, характера выполняемых работ. Методика определения приведена на рисунке10.1.

Рисунок 10.1 Классификация защитных методов и средств защиты от электромагнитных излучений радиочастот.

В поглощающих экранах используются специальные материалы, обеспечивающие поглощение излучения соответствующей длины волны. В зависимости от излучаемой мощности и взаимного расположения источника и рабочих мест конструктивное решение экрана может быть различным (замкнутая камера, щит, чехол, штора и т.д.).

При изготовлении экрана в виде замкнутой камеры вводы волноводов, коаксиальных фидеров, воды, воздуха, выводы ручек управления и элементов настройки не должны нарушать экранирующих свойств камеры.

Экранирование смотровых окон, приборных панелей проводится с помощью радиозащитного стекла. Для уменьшения просачивания электромагнитной энергии через вентиляционные жалюзи последние экранируются металлической сеткой либо выполняются в виде запредельных волноводов.

Уменьшение утечек энергии из фланцевых сочленений волноводов достигается путем применения "дроссельных фланцев", уплотнения сочленений с помощью прокладок из проводящих (фосфористая бронза, медь, алюминий, свинец и другие металлы) и поглощающих материалов, осуществления дополнительного экранирования.

Средства индивидуальной защиты следует использовать в случаях, когда снижение уровней ЭМИ РЧ с помощью общей защиты технически невозможно. Если защитная одежда изготовлена из материала, содержащего в своей структуре металлический провод, она может использоваться только в условиях, исключающих прикосновение к открытым токоведущим частям установок.

При работе внутри экранированных помещений (камер) стены, пол и потолок этих помещений должны быть покрыты радиопоглощающими материалами. В случае неправильного излучения допускается применение поглощающих покрытий только на соответствующих участках стен, потолка, пола.

В тех случаях, когда уровни ЭМИ РЧ на рабочих местах внутри экранированного помещения превышают ПДУ, персонал необходимо выводить за пределы камер.

В зависимости от условий облучения, характера и места нахождения источников ЭМИ РЧ могут быть применены различные средства и методы защиты от облучения: защита временем; защита расстоянием; экранирование источника излучения; уменьшение излучения непосредственно в самом источнике излучения; экранирование рабочих мест; средства индивидуальной защиты; выделение зон излучения.

Защита временем предусматривает ограничение времени пребывания человека в электромагнитном поле и применяется, когда нет возможности снизить интенсивность излучения до допустимых значений. Значения предельно допустимых уровней напряженности электрической (ЕПДУ) и магнитной (HПДУ) составляющих в зависимости от продолжительности воздействия приведены в таблице 10.2.

Таблица 10.2.

Продолжительность воздействия, t, ч

ЕПДУ, В/м

HПДУ, А/м

0,03...3 Мгц

3...30 Мгц

30...300 МГц

0,03...3 МГц

30...50 Мгц

8,0 и более

50

30

10

5,0

0,30

7,5

52

31

10

5,0

0,31

7,0

53

32

11

5,3

0,32

6,5

55

33

11

5,5

0,33

6,0

58

34

12

5,8

0,34

5,5

60

36

12

6,0

0,36

5,0

63

37

13

6,3

0,38

4,5

67

39

13

6,7

0,40

4,0

71

42

14

7,1

0,42

3,5

76

45

15

7,6

0,45

3,0

82

48

16

8,2

0,49

2,5

89

52

18

8,9

0,54

2,0

100

59

20

10,0

0,60

1,5

115

68

23

11,5

0,69

1,0

141

84

28

14,2

0,85

0,5

200

118

40

20,0

1,20

0,25

283

168

57

28,3

1,70

0,125

400

236

80

40,0

2,40

0,08 и менее

500

296

80

50,0

3,00

Примечание. При продолжительности воздействия менее 0,08 ч дальнейшее повышение интенсивности воздействия не допускается.

Значения предельно допустимых уровней плотности потока энергии (ППЭПДУ) в зависимости от продолжительности воздействия ЭМИ РЧ приведены в табл. 10.3.

Таблица 10.3.

Продолжительность воздействия, t, ч

ППЭПДУ, мкВт/см2

8,0 и более

25

7,5

27

7,0

29

6,5

31

6,0

33

5,5

36

5,0

40

4,5

44

4,0

50

3,5

57

3,0

67

2,5

80

2,0

100

1,5

133

1,0

200

0,5

400

0,25

800

0,20 и менее

1000

Примечание. При продолжительности воздействия менее 0,2 часа дальнейшее повышение интенсивности воздействия не допускается.

Защита расстоянием применяется в том случае, если невозможно ослабить интенсивность облучения другими мерами, в том числе и сокращением времени пребывания человека в опасной зоне. В этом случае прибегают к увеличению расстояния между излучателем и обслуживающим персоналом.

Уменьшение мощности излучения непосредственно в самом источнике излучения достигается за счет применения специальных устройств. С целью предотвращения излучения в рабочее помещение в качестве нагрузки генераторов вместо открытых излучателей применяют поглотители мощности (эквивалент антенны и нагрузки источников ЭМИ РЧ), при этом интенсивность излучения ослабляется до 60 дБ и более. Промышленностью выпускаются эквиваленты антенн, рассчитанные на поглощение излучения мощностью 5, 10, 30, 50, 100 и 250 Вт с длинами волн 3,1...3,5 и 6...1000 см.

Экранирование источников излучения используется для снижения интенсивности электромагнитного поля на рабочем месте или устранении опасных зон излучения. В этом случае применяются экраны из металлических листов или сеток в виде замкнутых камер, шкафов и кожухов.

Основной характеристикой каждого экрана является степень ослабления Э электромагнитного поля (10.5), называемая эффективностью экранирования, которая представляет собой отношение Е, Н, ППЭ в данной точке при отсутствии экрана к Eэ, Hэ, ППЭэ в той же точке при наличии экрана.

(10.5)

Экранирование источников ЭМИ РЧ или рабочих мест осуществляется с помощью отражающих или поглощающих экранов (стационарных или переносных). Отражающие экраны выполняются из металлических листов, сетки, ткани с микропроводом и др. (таблица 10.4).

Таблица 10.4

Наименование материала

ГОСТ, ТУ

Толщина, мм

Диапазон частот, Гц

Ослабление, дБ

Листовая Сталь Ст3

ГОСТ 19903--74

1,4

30Мгц...40ГГц

100

Фольга алюминиевая

ГОСТ 618--73

0,08

--

80

Фольга медная

ГОСТ 5638--75

0,08

--

80

Сетка стальная тканая

ГОСТ 5336--73

0,3…1,3

--

30

Радиозащитное стекло с одно- или двусторонним полупроводниковым покрытием

ТУ 21 -54-41-- 73

6

30Мгц…30ГГц

20...40

Ткань хлопчатобумажная с микропроводом

ОСТ 17-28--79

--

--

20...40

Ткань металлизированная «Восход»

--

--

10кГц...30ГГц

40...65

Ткань трикотажная

Ту-6-06-С202 - 90

--

300кГц...30МГц

15...40

Конечным звеном в цепи инженерных средств защиты являются средства индивидуальной защиты. В качестве индивидуальных средств защиты глаз от действия СВЧ-излучений рекомендуются специальные защитные очки, стёкла которых покрыты тонким слоем металла (золота, диоксида олова).

10.5 Оценка опасности для персонала при испытаниях системы на испытательном полигоне

В данном разделе произведен расчет электромагнитных полей действующих на персонал, находящийся на РМО. Оценка уровней ЭМП проводится на этом этапе методом математического моделирования. При этом наиболее важные исходные данные, необходимые для оценки, целесообразно определять экспериментально. При отсутствии такой возможности они определяются расчетно-теоретическим путем. Такими исходными данными, в частности, являются значения множителя дополнительного (относительно свободного пространства) ослабления радиоволн на приземных трассах распространения, а также характеристики диаграммы направленности передающей антенны радиолокационной системы (РЛС) в ближней и дальней зонах излучения. Величина плотности потока мощности в дальней зоне излучения передающей антенны П определяется по формуле:

(10.6)

где: - плотность потока мощности в главном луче диаграммы направленности (ДН) антенны на расстоянии R;

-- значение нормированной по мощности ДН передающей антенны в направлении на облучаемую точку;

и,? -- углы отклонения направления на облучаемую точку от электрической оси луча ДН антенны в вертикальной и горизонтальной плоскостях соответственно.

Величина , в свою очередь, определяется выражением

где: P -- среднее значение излучаемой мощности;

G0-- коэффициент усиления передающей антенны

в направлении электрической оси ДН;

R -- расстояние от передающей антенны до точки приема ЭМП.

Величина П0(R) в ближней зоне излучения передающей антенны с прямоугольным раскрывом в осевом направлении диаграммы направленности может быть приближенно оценена по формуле:

(10.7)

где: k - коэффициент использования поверхности антенны;

R - расстояние от антенны до РМО, равное R=20 м;

P - среднее значение излучаемой мощности, равное P=1кВт

- расстояние от центра антенны до границ ее дальней зоны, где L - размер источника излучения, равный L=6 м,

а - длина волны, равная =30 см;

- аппроксимирующая функция, задающая плавный переход величины плотности потока мощности при удалении расчетной точки из ближней зоны в дальнюю зону. Эта функция определяется по формуле

(10.8)

Подставив численные значения величин, в формулы указанные выше граница дальней зоны = 60 м. Следовательно, необходимо применить формулы для расчета ППЭ в ближней зоне.

Выполнив расчет полученное значение =186 мкВт/.

10.6 Выводы

Полученное значение позволяет находится персоналу на данном расстоянии вне РМО не более 1 часа, однако т.к. оператор находится на РМО дополнительной защиты не требуется, ввиду того, что РМО расположено в кузове унифицированного нулевого габарита ( КУНГе) , изготовленным с учетом специфики использования в составе РЛС ( внешняя оболочка представляет собой листовую сталь, толщиной 1,5 мм, обеспечивающую затухание не менее 100 дБ )

Заключение

В работе рассмотрены математическое описание ЛЧМ сигнала, комплексной огибающей, приведены эпюры ее квадратурных составляющих в дискретном виде с параметрами, указанными в техническом задании, а так же модуль спектра и фаза. Рассмотрены автокорреляционная функция и приведены ее эпюры с коррекцией боковых лепестков и без коррекции, показано, что боковые лепестки, максимальные значения которых находятся ниже уровня - 13 (дБ) можно уменьшить до - 31,5 (дБ), при расширении главного лепестка примерно в полтора раза. Кратко рассмотрены методы цифровой обработки радиосигнала сложной формы с ЛЧМ.

Из выполненного сравнения методов обработки радиосигнала сложной формы в режиме реального времени показано, что при умеренных порядках рассматриваемых цифровых фильтров быстрее оказывается фильтр с конечной импульсной характеристикой, функционирующий по алгоритму линейной свертки.

Разработан алгоритм параллельного вычисления линейной свертки. Затем, составлена структурная схема системы обработки радиосигнала сложной формы, на основании которой произведен синтез.

Был произведен выбор элементной базы с учетом обеспечения возможности последующей модернизации.

С целью убеждения в правильности работы системы на ее входы подаются тестовые сигналы, как было наглядно видно из результатов моделирования, система действительно производит обработку комплексной огибающей ЛЧМ сигнала с коррекцией боковых лепестков, что подтверждается сопоставлением результатов математического моделирования и моделирования работы фильтра.

Также был проведен анализ устройства посредством Signal Tap II.

При этом максимальный уровень всех боковых лепестков ВКФ тестового сигнала с параметрами, заданными в техническом задании, не превосходит значения -42,0 (дБ), что соответствует результату, полученный в ходе математического моделирования.

На основании результатов моделирования и данных зарегистрированных с устройства, сделан вывод о корректной работе системы обработки радиосигнала сложной формы с параметрами, полностью удовлетворяющими поставленному техническому заданию.

Список источников

1. Ч. Кук, М. Бернфельд. Радиолокационные сигналы. Пер. с английского под ред. В. С. Кельзона. М.: Издательство «Советское радио», 1971. - 568 с.

2. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. - М.: Издательство «МИР», 1978. - 848 с.

3. Garcia Jesus, Michell Juan A., Buron Angel M. VLSI configurable delay commutator for a pipeline FFT architecture. - IEEE Trans. Signal Process.. 1999. 47, N 11, p. 3098 - 3207

4. Розанов В.С. Безопасность жизнедеятельности. Электробезопасность: Учебное пособие. - М.:МИРЭА, 1999:ил.

5. Пяткин А. К., Никитин М. В. Реализация на ПЛИС быстрого преобразования Фурье для алгоритмов ЦОС в многофункциональных РЛС. - Цифровая обработка сигналов 2003. - N 3. - c. 21 - 25

6. Григорьев Л.Н. Радиолокационные системы. - М: МИРЭА, 2003. - 144с.

7. Куприянов М. С., Матюшкин Б. Д. Цифровая обработка сигналов: процессоры, алгоритмы, средства проектирования. - СПб.: Политехника, 1998. - 592 с.

8. Стешенко В. Б. ПЛИС фирмы «ALTERA»: Элементная база, система проектирования и языки описания аппаратуры. - М.: Издательский дом «Додэка - XXI», 2002. - 576 с.

9. Башкатов И.П., Васильев А.Г., Гладышев И.В., Савицкий В.А.; под общ. ред. Парамонова В.А. Методические указания по дипломному проектированию. - М.: МИРЭА, 2001. - 56 с.

10. ГОСТ 12.1.006-84 «Электромагнитные поля радиочастот».

11. СанПиН 2.2.4.1329-03 «Требование по защите персонала от воздействия импульсных электромагнитных полей».

12. Безопасность жизнедеятельности. Белов С.В., 2009

13. Гигиена труда при воздействии электромагнитных полей. / Под ред. Ковшило В.Е. - М.: Медицина, 1983

14. Сергеев И.В. Экономика организации предприятия. - М.: Финансы и статистика, 2007. - 574 с.

15. Грибов В.Д., Грузинов В.П. Экономика предприятия. - М.: Финансы и статистика, 2007. - 336 с.

16. Маниловский Р.Г. Бизнес-план. Методические материалы. 3-е издание. - Финансы и статистика, 2000.

17. Г.М. Батишева, М.В. Забродина, Р.Р. Курганова, Н.Д. Николаева, И.А. Нуль, И.Р. Никорук, Вл.И. Солнцев, В.И. Солнцев. Экономика предприятия и основы предпринимательства - М.: МИРЭА, 2001. - 20с.

18. Экономика предприятия: Учебник.; под ред. О.И. Волкова. - М.: ИНФРА-М, 2004. - 520с.

Приложения

Приложение А - программный код модуля «correlation»

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.STD_LOGIC_SIGNED.ALL;

entity corellation is

generic

(corr_depth : natural := 256;

W_SIZE_CD : natural := 5;

X_Y_WIDTH : natural := 13

);

Port ( -- inputs

in_X : IN SIGNED (X_Y_WIDTH-1 downto 0);

in_Y : IN SIGNED (X_Y_WIDTH-1 downto 0);

CD1 : IN SIGNED (2*W_SIZE_CD+1 downto 0);

CD2 : IN SIGNED (2*W_SIZE_CD+1 downto 0);

CD3 : IN SIGNED (2*W_SIZE_CD+1 downto 0);

CD4 : IN SIGNED (2*W_SIZE_CD+1 downto 0);

-- outputs

out_XR : OUT SIGNED (W_SIZE_CD + X_Y_WIDTH+1 + 8 downto 0);

out_YR : OUT SIGNED (W_SIZE_CD + X_Y_WIDTH+1 + 8 downto 0);

out_X1 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_Y1 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_X2 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_Y2 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_X3 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_Y3 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_X4 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_Y4 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

out_en : OUT STD_LOGIC;

addr_CD: OUT INTEGER RANGE 0 TO 63;

corr : OUT STD_LOGIC;

-------

out_X : OUT SIGNED (X_Y_WIDTH downto 0);

out_C : OUT SIGNED (W_SIZE_CD downto 0);

out_CC : OUT SIGNED (W_SIZE_CD downto 0);

out_CCC : OUT SIGNED (W_SIZE_CD downto 0);

out_CCCC : OUT SIGNED (W_SIZE_CD downto 0);

--clocks

CLK : IN STD_LOGIC;

CLK_160 : IN STD_LOGIC;

--driving

NRD: IN STD_LOGIC;

KRDNS: IN STD_LOGIC;

MS: IN STD_LOGIC_VECTOR (19 downto 0)

);

end corellation;

architecture Behavioral of corellation is

subtype sr_width is SIGNED((X_Y_WIDTH-1) downto 0);

type sr_length is array ((corr_depth-1) downto 0) of sr_width;

SIGNAL CORR_ENA: STD_LOGIC;

SIGNAL mult_ENA: STD_LOGIC;

SIGNAL LS_READ: STD_LOGIC;

SIGNAL RES_160: STD_LOGIC;

SIGNAL RES_160D: STD_LOGIC;

SIGNAL RES_M160: STD_LOGIC;

SIGNAL CEN: STD_LOGIC;

SIGNAL MS_160: STD_LOGIC_VECTOR (19 downto 0);

SIGNAL MS_160D: STD_LOGIC_VECTOR (19 downto 0);

SIGNAL MS_M160: STD_LOGIC_VECTOR (19 downto 0);

SIGNAL COUNT_Z: INTEGER RANGE 0 TO corr_depth-1 ;

SIGNAL COUNT_H: INTEGER RANGE 0 TO corr_depth-1;

SIGNAL COUNT_H1: INTEGER RANGE 0 TO corr_depth-1;

SIGNAL COUNT_H2: INTEGER RANGE 0 TO corr_depth-1 ;

SIGNAL COUNT_H3: INTEGER RANGE 0 TO corr_depth-1;

SIGNAL COUNT_H4: INTEGER RANGE 0 TO corr_depth-1 ;

SIGNAL timer: INTEGER RANGE 0 TO corr_depth-1 ;

SIGNAL COUNT_H1P: INTEGER RANGE 0 TO (corr_depth/4)-1 ;

SIGNAL LS_LENGHT: INTEGER RANGE 0 TO 2*corr_depth ;

SIGNAL COUNT_LS_D: INTEGER RANGE 0 TO 2*corr_depth ;

SIGNAL X_SH: sr_length;

SIGNAL Y_SH: sr_length;

SIGNAL en_o: STD_LOGIC;

SIGNAL out_X1I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_Y1I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_X2I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_Y2I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_X3I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_Y3I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_X4I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL out_Y4I : SIGNED (X_Y_WIDTH downto 0);

SIGNAL C1 : SIGNED (W_SIZE_CD downto 0);

SIGNAL D1 : SIGNED (W_SIZE_CD downto 0);

SIGNAL C2 : SIGNED (W_SIZE_CD downto 0);

SIGNAL D2 : SIGNED (W_SIZE_CD downto 0);

SIGNAL C3 : SIGNED (W_SIZE_CD downto 0);

SIGNAL D3 : SIGNED (W_SIZE_CD downto 0);

SIGNAL C4 : SIGNED (W_SIZE_CD downto 0);

SIGNAL D4 : SIGNED (W_SIZE_CD downto 0);

--comp_multip

SIGNAL XpY_1: SIGNED ((X_Y_WIDTH) downto 0);

SIGNAL CpD_1: SIGNED ((W_SIZE_CD) downto 0);

SIGNAL YmX_1: SIGNED (X_Y_WIDTH downto 0);

SIGNAL XI_1: SIGNED (X_Y_WIDTH downto 0);

SIGNAL YI_1: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI_1: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI_1: SIGNED (W_SIZE_CD downto 0);

SIGNAL XI1_1: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI1_1: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI1_1: SIGNED (W_SIZE_CD downto 0);

SIGNAL K2_1: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL K1_1: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);

SIGNAL K3_1: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Re1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Im1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

--

SIGNAL XpY_2: SIGNED ((X_Y_WIDTH) downto 0);

SIGNAL CpD_2: SIGNED ((W_SIZE_CD) downto 0);

SIGNAL YmX_2: SIGNED (X_Y_WIDTH downto 0);

SIGNAL XI_2: SIGNED (X_Y_WIDTH downto 0);

SIGNAL YI_2: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI_2: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI_2: SIGNED (W_SIZE_CD downto 0);

SIGNAL XI1_2: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI1_2: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI1_2: SIGNED (W_SIZE_CD downto 0);

SIGNAL K2_2: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL K1_2: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);

SIGNAL K3_2: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Re2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Im2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

-

SIGNAL XpY_3: SIGNED ((X_Y_WIDTH) downto 0);

SIGNAL CpD_3: SIGNED ((W_SIZE_CD) downto 0);

SIGNAL YmX_3: SIGNED (X_Y_WIDTH downto 0);

SIGNAL XI_3: SIGNED (X_Y_WIDTH downto 0);

SIGNAL YI_3: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI_3: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI_3: SIGNED (W_SIZE_CD downto 0);

SIGNAL XI1_3: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI1_3: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI1_3: SIGNED (W_SIZE_CD downto 0);

SIGNAL K2_3: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL K1_3: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);

SIGNAL K3_3: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Re3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Im3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

--

SIGNAL XpY_4: SIGNED ((X_Y_WIDTH) downto 0);

SIGNAL CpD_4: SIGNED ((W_SIZE_CD) downto 0);

SIGNAL YmX_4: SIGNED (X_Y_WIDTH downto 0);

SIGNAL XI_4: SIGNED (X_Y_WIDTH downto 0);

SIGNAL YI_4: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI_4: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI_4: SIGNED (W_SIZE_CD downto 0);

SIGNAL XI1_4: SIGNED (X_Y_WIDTH downto 0);

SIGNAL CI1_4: SIGNED (W_SIZE_CD downto 0);

SIGNAL DI1_4: SIGNED (W_SIZE_CD downto 0);

SIGNAL K2_4: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL K1_4: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);

SIGNAL K3_4: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Re4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

SIGNAL Im4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

--accumulation

SIGNAL addRe1_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addIm1_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addRe2_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addIm2_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addRe3_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addIm3_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addRe4_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL addIm4_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accRe1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accIm1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accRe2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accIm2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accRe3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accIm3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accRe4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

SIGNAL accIm4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

--SUMS

SIGNAL Acc_Re_1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Re_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Re_3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Re_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Im_1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Im_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Im_3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Acc_Im_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

--

SIGNAL Sum_Re_1_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Sum_Re_3_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Sum_Im_1_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Sum_Im_3_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

SIGNAL Sum_Re: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);


Подобные документы

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.