Проектирование автоматизированного электропривода двухкоординатного модуля для производства интегральных микросхем

Формулирование требований к автоматизированному электроприводу и системе автоматизации. Построение нагрузочной диаграммы механизма. Расчёт параметров и выбор элементов силовой цепи. Проектирование узла системы автоматизированного электропривода.

Рубрика Физика и энергетика
Вид дипломная работа
Язык русский
Дата добавления 30.04.2012
Размер файла 1,1 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

6. АНАЛИЗ ДИНАМИЧЕСКИХ И СТАТИЧЕСКИХ ХАРАКТЕРИСТИК ЭЛЕКТРОПРИВОДА

6.1 Разработка программного обеспечения для компьютерного моделирования, автоматизированного электропривода

Компьютерное моделирование проектируемой установки производим в среде математического моделирования MATLAB 6.0, с использованием библиотеки Simulink. Модель построена на основе уравнений 5.1.

В имитационной модели инвертор построен на силовых модулях Mosfet. Открывающие импульсы подаются на транзисторы с релейного регулятора тока, осуществляя симметричную коммутацию силовых ключей. На рисунке 6.1 представлена имитационная модель двухфазного мостового инвертора на модулях Mosfet.

Рисунок 6.1 - Имитационная модель двухфазного мостового инвертора

Параметры модулей Mosfet, использованных в модели представлены на рис. 6.2.

Рисунок 6.2 - Параметры модулей Mosfet

На рисунке 6.3 представлена имитационная модель релейного регулятора тока.

Рисунок 6.3 - Реализация релейного регулятора тока

Iос - сигнал обратной связи по току, Iзад - сигнал задания тока, “Pulses” - открывающие импульсы.

Имитационная модель двухфазного линейного шагового двигателя с контуром регулирования тока представлена на рисунке 6.6.

Описание блоков, применённых при составлении имитационной модели.

При реализации релейного регулятора тока были использованы блоки “boolean”, “NOT”, “double”, осуществляющие инвертирование открывающих импульсов, подаваемых на транзисторы Mosfet для осуществления симметричной коммутации.

Блоки “Задание 1” и “Задание 2” формируют сигналы задания токов фаз А и В соответственно. Сигналы задания токов фаз UзтА и UзтВ показаны на рисунках 6.4 и 6.5.

Uзт А, В

t, c

Рисунок 6.4 - Сигнал задания тока фазы А

Uзт B, В

t, c

Рисунок 6.5 - Сигнал задания тока фазы В

Рис. 6.6 - Имитационная модель электропривода проектируемой установки

Rа и Lа - сопротивление и индуктивность обмотки фазы А;

Rв и Lв - сопротивление и индуктивность обмотки фазы В;

k - постоянная момента

Блоки “u1” и “u2” возвращают зависимости напряжений, прикладываемых к фазам А и В от времени; блоки “i1” и “i2” возвращают зависимости токов фаз А и В от времени; блок “f” возвращает зависимость тягового усилия линейного шагового двигателя от времени; блок “a” возвращает зависимость ускорения от времени; блок “v” - возвращает зависимость скорости от времени; блок “s” возвращает зависимость перемещения от времени.

В блоке “1/m” учтена масса подвижной части, равная 3 кг.

6.2 Расчёт и определение показателей качества переходных процессов

Графики, полученные в результате моделирования в среде MATLAB 6.0, представлены на рисунках 6.5 - 6.12.

s, м

t, c

Рисунок 6.7 - Зависимость перемещения от времени

v, м/с

t, c

Рисунок 6.8 - Зависимость скорости от времени

а, м/с2

t, c

Рисунок 6.9 - Зависимость ускорения от времени

t, c

Рисунок 6.10 - Зависимость тока фазы А от времени

t, c

Рисунок 6.11 - Зависимость тока фазы B от времени

F, H

t, c

Рисунок 6.12 - Зависимость тягового усилия от времени

Рисунок 6.13 - Алгоритм работы ключей инвертора фазы А

На рисунке 6.13 показан алгоритм работы ключей инвертора фазы А за время от 2,0110-3 с до 2,02510-3 с. Это отрезок времени на участке разгона электродвигателя. Поскольку частота широтно-импульсной модуляции напряжения очень высока, вследствие того, что допустимое отклонение тока фазы от заданного составляет 1%, невозможно достоверно отразить изменение напряжение на фазе электродвигателя на протяжении всего времени типового перемещения. Алгоритм работы ключей инвертора фазы В показан на рисунке 6.14.

Рисунок 6.14 - Алгоритм работы ключей инвертора фазы В

Сигнал задания тока фазы В сдвинут по фазе относительно сигнала задания тока фазы А на 90 электрических градусов.

На рисунке 6.15 показана отработка шаговым двигателем движения на два шага вперёд.

Качество регулирования принято оценивать следующими основными показателями [9]:

величиной перерегулирования;

быстродействием или временем регулирования;

числом колебаний регулируемой величины за время переходного процесса.

t, c

Рисунок 6.15 - Результаты отработки моделью задания движения на два шага вперёд.

Перерегулирование вычисляется по формуле

(6.1)

Для проектируемой установки особенно важно перерегулирование по положению.

Из рисунка 6.7 видно, что hmax = hуст = 4 мм, следовательно, перерегулирование % = 0%.

При отработке единичного шага hmax = hуст = 10 мкм (рисунок 6.15).

Быстродействие, или время регулирования представляет собой время в течении которого отклонение регулируемой величины от установившегося значения превышает некоторое допустимое значение. В большинстве случаев это значение принимается равным 5%. Из рисунков 6.7 и 6.15 видно, что регулируемая величина (перемещение) после достижения установившегося значения больше не отклоняется от него, и, следовательно, время регулирования равно нулю.

Число колебаний регулируемой величины за время переходного процесса не превышает допустимого значения, так как колебания отсутствуют.

Из рисунка 6.15 видно, что величина единичного шага составляет 10 мкм, следовательно, система удовлетворяет требованиям точности позиционирования.

Из рисунка 6.8 видно, что максимальная скорость в проектируемой установке равна 0,27 м/с, что не превышает требуемой максимальной скорости 0,28 м/с и равно значению расчетной максимальной скорости.

Из рисунка 6.9 видно, что максимальное ускорение равно 17,7 м/с2, что не превышает требуемого значения, равного 18 м/с2.

6.3 Построение статических характеристик электропривода

В пункте 3.1 было описано, почему статическое усилие линейного шагового двигателя на воздушной подушке в проектируемой установке не изменяется и определяется силами трения подвижной части о воздушную опору. Так как усилие нагрузки неизменно, нет необходимости строить статические характеристики.

7. ОКОНЧАТЕЛЬНАЯ ПРОВЕРКА ДВИГАТЕЛЯ ПО НАГРЕВУ

В результате моделирования переходных процессов были получены зависимости w(t), F(t), I(t).

Проверка по нагреву проводится методом средних потерь или эквивалентных величин (момента, тока, усилия). Площадь под сложной кривой усилия заменяется суммой площадей эквивалентных прямоугольников. Далее

,

где Fi - эквивалентное значение момента на i-м интервале.

t, c

Рисунок 7.1 - Зависимость тягового усилия от времени

где0 - коэффициент, учитывающий ухудшение охлаждения при пуске и торможении, 0=1, так как используется принудительная вентиляция.

По данным графика на рисунке 7.1 определяем:

FЭFН.

53,3 Н55 Н - Двигатель удовлетворяет условиям нагрева.

8. ВЫБОР И ПРОЕКТИРОВАНИЕ СИСТЕМЫ АВТОМАТИЗАЦИИ ПРОИЗВОДСТВЕННОЙ УСТАНОВКИ

8.1 Формализация условий работы установки

Одним из важнейших направлений в решении задач интенсивности производства и повышения его эффективности является комплексная автоматизация промышленности. Автоматизация промышленных комплексов позволяет повысить надежность систем в целом, благодаря тому, что сокращается количество используемых элементов в системах управления.

В последнее время для автоматизации промышленных установок в основном используются микроконтроллеры, применение которых позволяет повысить эффективность использования приводов, снизить расходы на ремонт. Такие системы обеспечивают быструю переналадку систем управления, изменив всего лишь параметры управляющих программ. Также системы управления, в которых используются микроконтроллеры, позволяют с помощью устройств визуализации, контролировать большое количество параметров, в удобном виде для операторов. В настоящее время микроконтроллеры позволяют обеспечить связь с ЭВМ, что позволяет оператору контролировать работу сразу нескольких рабочих комплексов со своего рабочего места.

Система управления шаговым двигателем является системой точного воспроизведения движений с возможностями как позиционного, так и контурного управления [2]. Она предназначена для создания многокоординатного шагового электропривода на базе применения вращающихся, линейных, планарных шаговых двигателей и шаговых двигателей с комбинированным характером движения, а также для комплексной автоматизации всех технологических процессов в составе установки на основе многокоординатного шагового электропривода.

Система управления шаговыми электродвигателями является мультимикропроцессорной системой управления, построенной по иерархическому трёхуровневому магистрально-модульному принципу.

На нижнем уровне управления реализуется принцип прямого цифрового управления реализуется принцип прямого цифрового управления одной осью электропривода с помощью микропроцессорного контроллера - модуля контроллера (МК), автономного инвертора тока - модуля инвертора тока (МИ) и блока электрического дробления шага и обработки сигналов датчиков обратной связи, конструктивно выполненного в виде интерфейсного модуля связи (МС) контроллера и инвертора тока. Три названных модуля и шаговый двигатель (или одна координата многокоординатного двигателя) вместе с рабочим органом образуют электропривод оси или однокоординатный электропривод.

Каждый осевой контроллер имеет встроенный модуль ввода-вывода дискретной информации для приёма логических сигналов о состоянии технологического оборудования и привода (датчиков конечного положения, аварийных датчиков) и выдачи технологических команд (включение-выключение воздуха). Это позволяет осуществлять в простейшем случае управление дискретной автоматикой технологической установки без каких-либо дополнительных модулей ввода-вывода дискретных сигналов или отдельно установленных дополнительных промышленных программируемых контроллеров.

На среднем уровне управления осуществляется согласованное управление с помощью модуля центрального процессора (МЦП) и общей шины с магистральным параллельным интерфейсом несколькими осями электропривода и соответствующим технологическим оборудованием, подключённым к модулям ввода-вывода дискретных сигналов отдельных осевых контроллеров.

К шине магистрального параллельного интерфейса подсоединяются все модули осевых контроллеров и другие программно-управляемые модули, к числу которых могут относится специальные устройства сопряжения с объектом управления, например, модули обмена информацией по каналу общего пользования (МКОП), дополнительно обеспечивающие связь системы управления шаговыми двигателями с какими-либо приборами и информационно-измерительными системами или дополнительные модули ввода-вывода дискретных сигналов, рассчитанные на большое число выходов и входов.

На верхнем уровне управления осуществляется согласованное управление группами электроприводов и технологическим оборудованием, подключённым к осевым контроллерам групп. В качестве управляющего устройства на этом уровне управления используются персональные компьютеры, промышленные программируемые контроллеры и управляющие ЭВМ, имеющие выход на стандартный последовательный интерфейс RS-232 для сопряжения с модулями центрального процессора, установленными в крейтах.

8.2 Разработка алгоритма и программы управления

Производственный цикл проектируемой установки выглядит следующим образом. Оператор устанавливает максимальную координату по оси Z и скорость наезда на датчик положения. Контроллер производит расфиксацию двигателя, осуществляющего перемещение по оси Z (двигатель 1). Координатный стол с закреплённой на нём платой, приготовленной для маркировки поднимается на высоту 30мм (40960 дискрет) со скоростью 0,25 м/с (366357 дискрет) до соприкосновения с датчиком положения, установленном на 6мм ниже контактной поверхности зондов. После этого происходит отсчёт выдержки времени, равной 0,78 с. После выдержки времени, координатный стол вновь поднимается, но уже со скоростью 5,8 м/с (8000 дискрет) на высоту 3мм (4095 дискрет) до соприкосновения с контактной поверхностью зондов. Скорость движения при приближении к зондам понижена для того, чтобы маркируемая плата не повредила контактную поверхность зондов, при соприкосновении с ними. После этого происходит фиксация двигателя 1 и расфиксацию двигателя, осуществляющего движение по оси Х (двигатель 2). Оператором задана в программе максимальная координата перемещения по оси Х (100000 дискрет) и координата точки по оси Х, в которую необходимо переместиться (х = 4 мм = 5460 дискрет). Двигатель осуществляет заданное перемещение. Потом происходит фиксация двигателя 2 и запуск программного буфера 1. Потом происходит расфиксация двигателя, осуществляющего движение по оси Y (двигатель 3). Оператором задана максимальная координата перемещения по оси Y (100000 дискрет) и задана координата точки по оси Y, в которую необходимо переместиться (y = 4 мм = 5460 дискрет). Двигатель осуществляет заданное перемещение. Потом производится фиксация двигателя 3 и запуск программного буфера 1. После этого цикл повторяется сначала. Алгоритм управления установкой показан на рисунке 8.1, а программа реализации технологического цикла, составленная на основании этого алгоритма представлена в таблице 8.1.

Размещено на http://www.allbest.ru/

Рис. 8.1

Таблица 8.1 - Программа реализации технологического цикла

Команда

Метка

Комментарии

@1

Выбор активным контроллера 1

@

Опрос номера платы

HMZ

Обнуление всех координат

IN

Опрос дискретных входов

OPRG1

Открытие программного буфера 1 для записи

DS1

Расфиксация двигателя 1

Iz21=40960

Установка максимальной координаты по оси Z, дискрет

Iz40=366357

Установка скорости наезда на датчик, дискрет/с

Z40960

Движение по координате Z в точку, c координатой 40960 по оси Z

OPRG2

Открытие программного буфера 2 для записи

VR1=65535

Присвоение переменной VR1 значения 9852

VR2=VR1 - 1

1

Присвоение переменной VR2 значения VR1 - 1

IF VR2!=0

Организация цикла задержки времени. Пока выражение VR2!=0 верно, цикл выполняется до команды END

GOTO1

END

SR

Останавливает активный программный буфер, с возможностью последующего запуска командой

Iz21=4095

Установка максимальной координаты по оси Z, дискрет

Iz40=8000

Установка скорости наезда на датчик, дискрет/с

Z8000

Движение по координате Z в точку, координатой 8000 по оси Z

EN1

Фиксация двигателя 1

SR

Останавливает активный программный буфер, с возможностью последующего запуска командой

DS2

Расфиксация двигателя 2

Ix21=100000

Установка максимальной координаты по оси X, дискрет

X5460

Движение по координате X в точку, координатой 5460 по оси Х

EN2

Фиксация двигателя 2

DS3

Расфиксация двигателя 3

Iy21=100000

Установка максимальной координаты по оси Y, дискрет

Y5460

Движение по координате Y в точку, координатой 5460 по оси Y

EN3

Фиксация двигателя 3

IF X != 273000

Организация условия. Пока выражение

X != 273000 верно, выполняются команды до команды END

R1

Запуск программного буфера 1

END

Конец цикла

8.3 Разработка функциональной, логической схемы

Ядром контроллера привода является цифровой сигнальный процессор ADSP2185 [7], в котором программно реализуется регулятор, измерение скорости, получение от периферийных устройств угла и фазных токов, а также расчет тока по которому ведется регулирование. Высокая производительность сигнального процессора позволяет просчитывать алгоритм регулятора в пределах времени указанного в начале главы. Архитектура ADSP 2185 представлена на рисунке 8.2 [7].

Рисунок 8.2 - Внутренняя архитектура ADSP 2185

Процессор имеет 80К байт внутренней оперативной памяти организованной, как 16К слов данных и программ соответственно по 16 и 24 бита. Два независимых генератора адреса, позволяют производить одновременное исполнение инструкций. Арифметическое устройство позволяющее выполнять операции сложения, вычитания и умножения в целочисленной и арифметике с фиксированной точкой за один машинный цикл равный 33 нс [7]. Время исполнения любой инструкции равняется 33 наносекунд обеспечивает производительность сигнального процессора 33 MIPS (Millions Instractions Per Second), исключением является лишь команда деления которая реализуется процессором в виде двух примитивов DIVS и DIVQ. Первый позволяет получать знаковый бит результата, а второй, соответственно, каждый последующий. В целом операция деления выполняется за 16 циклов, что составляет порядка 530 нс. Процессор оснащен двумя синхронными высокоскоростными каналами SPORT0 и SPORT1. Второй из них может настраиваться, как синхронный канал, так и набор прерываний и битовых флагов. В системе используется второй режим для обеспечения связи с персональным компьютером в стандарте интерфейса RS-232. Конфигурация процессора указана на рисунке 8.3.

Рисунок 8.3 - Базовая системная конфигурация

Так как в процессоре отсутствует встроенное ПЗУ после сброса процессор загружает исполняемый код во внутреннюю память программ из BYTE MEMORY (метод загрузки указывается путем подачи логических сигналов на входы BMODE и MMAP). Процессор может адресовать до 2048 адресов ввода/вывода (I/O SPACE) разбитых на четыре блока по 512 адресов с программируемыми тактами ожидания. Наличие битовых флагов FL2-FL0 и PF7-PF0 и различных источников прерываний, включая внешние, значительно упрощает задачи сопряжения сигнального процессора с разнообразными периферийными микросхемами, аналого-цифровыми преобразователями.

8.4 Выбор аппаратов

Управление линейными шаговыми двигателями в проектируемом устройстве осуществляется по алгоритму, соответствующему четырёхтактной коммутации. При таком алгоритме управления ротор перемещается с шагом, равным z/4, что в линейных размерах соответствует 0,05 - 0,25 мм. Для прецизионных систем такая дискретность не является удовлетворительной. Снижение величины единичного шага добиваются способами управления, использующими электрическое дробление основного шага линейного шагового двигателя.

Управляющие токи фаз линейных шаговых двигателей формируются с помощью цифровой техники при конечном числе сочетаний уровней токов в фазах, что обеспечивает ряд дискретных позиций статора в пределах зубцового деления. Синус-косинусные функции токов фаз получаются при этом квантованными по времени. Таким образом на протяжении зубцового деления можно иметь в пределе любое число статически устойчивых положений ротора, задаваемых текущим значением аргумента управляющих синус-косинусных токов фаз.

Таким образом, используя микропроцессор для формирования управляющих токов, можно использовать разомкнутую систему управления без дорогостоящих датчиков положения ротора.

Выбираем микропроцессор ADSP2185KST-133 Analog devices.

Модуль гальванической развязки реализуем на аналоговой микросхеме PC48 Sharp.

Постоянное записывающее устройство - на микросхеме AT2910A-90JC Atmel.

Оперативная память - микросхема EPM3064ATC100-10 Altera.

Цифро-аналоговые преобразователи - AD5300.

Устройство с выходными драйверами - микросхема ADM222AR Analog devices.

Драйвер усиления мощности - ULN2803A Motorola.

Драйвера со схемой защиты от перегрузки по току - A3972SB24 AllegroMicro.

9. ПРОЕКТИРОВАНИЕ УЗЛА СИСТЕМЫ АВТОМАТИЗИРОВАННОГО ЭЛЕКТРОПРИВОДА (ПРИНЦИПИАЛЬНОЙ ЭЛЕКТРИЧЕСКОЙ СХЕМЫ ИЛИ КОНСТРУКЦИИ УЗЛА)

Основным узлом проектируемой установки является узел программного управления, состоящий из микропроцессора АDSP2185 и постоянного записывающего устройства AT29C10. Принципиальная схема постоянного записывающего устройства приведена на рисунке 9.1. Принципиальная схема микропроцессора представлена на рисунке 9.2.

Рисунок 9.1 - Принципиальная схема постоянного записывающего устройства

Семейство процессоров ADSP-2100 [7] представляет собой ряд программируемых процессоров и микрокомпьютеров на одном кристалле, которые объединяет общая базовая архитектура, оптимизированная для цифровой обработки сигналов и других операций в области высокоскоростной обработки цифровых данных.

Данные процессоры содержат следующие функциональные устройства:

Вычислительные устройства - три независимых вычислительных устройства с полным набором функциональных возможностей: арифметико-логическое устройство (АЛУ), умножитель-накопитель (умножитель) и устройство циклического сдвига. Вычислительные устройства обрабатывают данные разрядностью 16 бит и поддерживают вычисления с повышенной точностью.

Генераторы адреса данных и программный автомат - два генератора адреса данных и программный автомат генерируют адреса для доступа к памяти на кристалле или внешней памяти. Программный автомат поддерживает команды условного перехода за один цикл и организует выполнение циклов программы с нулевыми затратами ресурсов. Два генератора адреса данных позволяют одновременно генерировать адреса для выборок двух операндов. Программный автомат и генератор адреса данных обеспечивают постоянную загрузку вычислительных устройств, максимизируя, таким образом, их производительность.

Память - в семействе АDSP-2100 используется модифицируемая гарвардская архитектура, при которой данные хранятся в памяти данных, а память программы содержит как команды, так и данные. Все процессоры этого семейства имеют оперативное запоминающее устройство на кристалле, которое включает часть пространства памяти программы и часть пространства памяти данных. Быстродействие памяти на кристалле позволяет процессору выбирать два операнда (один - из памяти данных, и один - из памяти программы) и команду (из памяти программы) за один цикл.

Последовательные порты - они обеспечивают полное сопряжение с аппаратными средствами реализации компандирования. Каждый порт может генерировать программируемые внутренние тактовые синхроимпульсы или принимать внешние тактовые синхроимпульсы.

Таймер - программируемый таймер/счётчик с предварительным делителем частоты разрядностью 8 бит обеспечивает генерацию периодических прерываний.

Порт интерфейса хост-машины - обеспечивает прямое соединение с хост-процессором. Порт интерфейса хост-машины имеет 16 выводов для данных и 11 управляющих выводов.

Порты прямого доступа к памяти - имеющиеся в процессоре порт прямого доступа к внутренней памяти (IDMA) и порт прямого побайтового доступа к памяти (BDMA) обеспечивают эффективную передачу данных в и из внутренней памяти. Внутренний порт доступа к памяти имеет 16-разрядную мультиплексируемую шину адреса и данных и поддерживает работу с 24-разрядной памятью программы. Запись данных в этот порт осуществляется асинхронно и не влияет на быстродействие процессора. Порт прямого доступа к памяти с байтовой организацией позволяет осуществлять начальную загрузку и хранение данных и команд программы.

Аналоговый интерфейс - процессор имеет на кристалле средства поддержки обработки смешанных аналоговых/цифровых сигналов. Эти средства включают аналогово-цифровой преобразователь (АЦП), цифро-аналоговый преобразователь (ЦАП), аналоговые и цифровые фильтры и средства параллельного сопряжения с базовой архитектурой процессора. Преобразователи используют сигма-дельта модуляцию для получения выборки из сигнала с избыточной дискретизацией.

Управление работой процессора осуществляется посредством подсоединения кварцевого резонатора между выводами CLKIN и XTAL. Частота входных сигналов тактовой синхронизации в два раза меньше частоты командных циклов, например при частоте входного сигнала тактовой синхронизации 16,67 МГц процессор работает с тактовой частотой внутренних тактовых синхроимпульсов (сигнал CLKOUT).

Кварцевый резонатор присоединяется к выводам CLKIN и XTAL, с использованием двух конденсаторов, как это показано на рисунке 9.1. Параллельно включенный резонатор следует использовать на его основной частоте. Выбранное значение частоты резонатора равно половине требуемой частоты командных циклов процессора.

Процессор генерирует сигнал СLKOUT, синхронизированный относительно внутренних циклов процессора и имеющий период, равный длительности командных циклов процессора. Для генерации сигнала СLKOUT и для разделения каждого командного цикла на последовательность внутренних временных интервалов, называемых состояниями процессора, используется система фазовой автоматической подстройки частоты. Выходной сигнал CLKOUT может быть блокирован при помощи соответствующей установки бита СLKODIS в регистре управления автобуферизацией SPORT0.

Для процессора требуются сигналы тактовой синхронизации, полученные из входного тактового сигнала путём умножения частоты на 0,5. Внутренняя система фазовой автоматической подстройки частоты эффективно используется в процессорах для генерирования внутренних сигналов тактовой синхронизации более высокой частоты и CLKOUT. Сигнал CLKIN должен подаваться в течение времени установления фазы в схеме автоподстройки до снятия сигнала . Кроме того частота тактовых синхроимпульсов не может изменяться до перезапуска процессора по сигналу .

Сигнал перезапуска останавливает выполнение операций и приводит к перезапуску аппаратных средств процессора. Для правильной инициализации процессора сигнал должен выставляться во время включения питания процессора.

Ниже описано назначение выводов микропроцессора.

SCLK1, RFS1, TFS1, DT1, DR1 - выводы последовательного порта 1, используются для связи с устройствами с последовательным интерфейсом.

SCLK0, RFS0, TFS0, DT0, DR0 - выводы последовательного порта 2, используются для связи с устройствами с последовательным интерфейсом.

EBG, EBR, IRD, WR, IS, IAL, IACK, IAD15-0 - выводы порта IDMA, служат для связи с системным интерфейсом.

Всего в процессоре ADSP-2185 имеется четыре отдельных области памяти: память данных, память программы, байтовая память и память ввода-вывода. Внешний доступ , а также за счёт вывода внутренних шин адреса и данных вне кристалла. Сигналы , указывают, к какой области памяти осуществляется обращение.

Область составной памяти (и её линия ) позволяет при доступе к одному внешнему запоминающему устройству рассматривать его в качестве нескольких областей памяти. Регистр выбора составной памяти позволяет задавать выбираемые сигналом области памяти.

Считывание из памяти () и запись в память () производятся по низкому уровню. присоединяется к выводу (кристалл доступен), - к (выход разрешён), а - к (запись разрешена).

В отличие от других процессоров семейства, в процессоре ADSP-2185 предусмотрен ряд дополнительных возможностей сопряжения с внешней памятью. К ним относятся:

- внешняя оверлейная память в виде сегментов по 8 К, которые могут переключаться, используясь как старшие 8 К внутренней памяти или как младшие 8 К памяти данных;

- память с байтовой организацией и порт прямого побайтового доступа к памяти (BDMA), которые обеспечивают доступ максимум к 4 Мб; память с байтовой организацией поддерживает загрузку и обращение во время работы к недорогим 8-разрядным запоминающим устройствам; порт прямого доступа к памяти позволяет пользователю задавать количество ячеек памяти, которые будут передаваться в или из внутренней памяти без отрыва процессора от выполнения основной задачи.

- внутренний порт прямого доступа к памяти (IDMA), который поддерживает операцию загрузки и обращения к хост-машине (например, к компьютеру) и позволяет пользователю задавать количество ячеек памяти, которые будут передаваться в или из внутренней памяти без отрыва процессора от выполнения основной задачи.

В таблице 9.1 представлены состояния выводов процессора при перезапуске, начальной загрузке и предоставлении шины.

Таблица 9.1 - Состояния выводов процессора при перезапуске, начальной загрузке, предоставлении шины

Операция

Шина адреса

Шина данных

CLKOUT

Последовательные порты

Перезапуск

находится в третьем состоянии

находится в третьем состоянии

высокий

уровень

высокий

уровень

активен

находится в третьем состоянии

высокий

уровень

Автоматическая начальная зарузка после

перезапуска

активна

активна

акти-

вен, ,

высо-

кий уровень

активен,

высокий

уровень

активен

находится в третьем состоянии

высокий

уровень

Повторная

Начальная

загрузка

активна

активна

акти-

вен, ,

высо-

кий уровень

активен,

высокий

уровень

активен

активен

высокий

уровень

Выставление

при нормальном режиме работы,

начальной загрузке и в режиме G0

находится в третьем состоянии

находится в третьем состоянии

находятся в третьем состоянии

находятся в третьем состоянии

активен

активен

низкий

уровень

Выставление

во время перезапуска

находится в третьем состоянии

находится в третьем состоянии

находятся в третьем состоянии

находятся в третьем состоянии

активен

находится в третьем состоянии

низкий

уровень

10. ПРОЕКТИРОВАНИЕ СХЕМЫ ЭЛЕКТРОСНАБЖЕНИЯ И ЗАЩИТЫ УСТАНОВКИ

10.1 Выбор аппаратов, проводов и кабелей

Выбор сечения проводов и кабелей в электрических сетях до 1 кВ проводится исходя из следующих условий:

1. По допустимому нагреву длительным током нагрузки;

2. По условию соответствия аппаратов максимальной токовой защиты, установленной в начале линии.

Выбор сечения кабеля по допустимому нагреву производится по таблице допустимых токов по условию

, (10.1)

где кп - коэффициент, учитывающий фактические условия прокладки, при нормальных условиях прокладки кп = 1.

Следовательно, Iдоп = Iдоп = 5,5 А.

Выбор сечения по условию соответствия аппаратов максимальной токовой защиты установленной в начале линии производится по условию

, (10.2)

где кз - кратность длительно допустимого тока проводника по отношению к Iн или току срабатывания защитного аппарата, кз = 0,33;

Iз - при использовании плавких предохранителей Iз = Iвн = 10.

А,

Из условий (10.3) и (10.4) выбираем наибольший допустимый ток, т.е.

.

Из справочника [10] следует, что для кабеля напряжения до 1 кВ с четырьмя алюминиевыми жилами при допустимом длительном токе , сечение должно быть 2мм2, следовательно выбираем кабель АВРГ -32,5+11,5.

Таблица 10.1 - Основные параметры кабеля типа АВРГ

Обозначение кабеля

АВВГ - 32,5+11,5

Жилы кабеля

алюминиевые

Оболочка кабеля

ПВХ

Броня кабеля

отсутствует

Наружный покров кабеля

отсутствует

32,5

три провода с сечением 2,5мм2

11,5

нулевой провод с сечением 1,5 мм2

В системе управления разомкнутым шаговым приводом важны период квантования, разрядность и структура периферийных устройств. Период квантования для разомкнутого привода определяется максимальным заданием скорости. Для разомкнутого шагового привода период квантования желательно иметь не более 100 мкс. Важная характеристика процессора - его разрядность. Для обеспечения микрошагового управления в широком диапазоне перемещений, скоростей и ускорений необходима разрядность процессора не менее 16. Третье важное требование - наличие развитой встроенной периферии процессора: таймеров, памяти, удобного механизма обслуживания прерываний и удобной связи с host-ЭВМ.

Перечисленным требованиям в наибольшей степени удовлетворяет 16-разрядные сигнальные процессоры с фиксированной точкой, архитектура которых оптимизирована для высокоскоростной обработки сигналов (микропроцессор ADSP2185KST-133 Analog devices). При периоде квантования 100мкс от одного процессора можно управлять несколькими осями разомкнутого шагового привода с заданием микрошага около 1,5', с погрешностью позиционирования до 3 - 5', частотой вращения до 2000 об/мин и ускорением привода до 20000 рад/с2.

Поэтому выбираем процессор ADSP2185KST-133 Analog devices.

Модуль гальванической развязки между процессором и дискретными входами реализуем на аналоговой микросхеме PC48 Sharp с выходным напряжением 5 В.

Модуль гальванической развязки между процессором и выходными драйверами реализуем на аналоговой микросхеме HCPL0630 Hewlett Packard, выходное напряжение 5 В.

Схему защиты микропроцессора реализуем на диодах BAV70 с обратным напряжением 5 В.

Драйвера усиления мощности реализуем на микросхеме ULN2803A Motorola.

Выходные формирователи тока ЛШД (драйверы) состоят из нескольких функционально-законченных модулей, разработанных на различную мощность ЛШД и количество каналов. Ниже приведено описание одного из вариантов выходного формирователя.

Выходной формирователь тока двухфазный с 10-ти битным дроблением токов в фазах по последовательному быстродействующему синхронному каналу.

Драйвер выполняется в типоразмере 3U с шагом установки 40 мм.

Драйвер однокоординатный, 2-х фазный и представляет собой стабилизатор тока с ШИМ регулятором и предназначен для запитки как линейных, так и обычных шаговых двигателей в режиме микрошагового дробления с биполярным включением обмоток, как в разомкнутых, так и в замкнутых по положению приводах. Величина тока в фазах должна передаваться по быстродействующему синхронному каналу ( максимальная скорость передачи до 10 МБод, физическое сопряжение с линией через RS-422 c гальванической развязкой). Для управления двумя фазами используется один синхронный канал с программной дешифрацией фаз. Преобразователь код-ток 10-битовый. Выходной силовой усилитель выполнен по мостовой схеме.

Предусмотрено несколько вариантов комплектования полевыми транзисторами, например, для работы в режиме 140В, 10А или 30В, 5,5А. Точность поддержания тока в обмотке - не хуже 2-х дискрет 8-битового цифро-аналогового преобразователя. Питание драйвера осуществляется от одного силового источника питания.

Поскольку параметры описанного драйвера удовлетворяют проектируемой установке, выбираем драйвер данного типа ADM222AR Analog devices.

Связь между контроллером и выходными драйверами осуществляется по быстродействующему синхронному каналу, аппаратно поддерживаемому сигнальным процессором ADSP2185. Максимально возможная скорость обмена до 10 МБод. Интерфейс обмена - RS422.

Контроллер имеет развитую систему команд и гибкую систему настройки параметров регулятора. Программирование и настройка необходимых параметров выполняется по последовательному каналу RS-232 115Kb или быстродействующему RS-485.

Точность поддержания тока в обмотке должна быть не хуже 2-х дискрет 8-битового цифро-аналогового преобразователя. Поэтому выбираем 8-битовый цифро-аналоговый преобразователь AD5300.

Постоянное записывающее устройство должно удовлетворять требованиям скорости передачи данных (10 Мбод), памяти и поддерживать интерфейс обмена - RS422. Этим требованиям удовлетворяет постоянное записывающее устройство на микросхеме AT2910A-90JC Atmel.

Аналогичные требования предъявляются к оперативной памяти. Оперативную память реализуем на микросхеме EPM3064ATC100-10 Altera.

Поскольку требуемая частота командных циклов процессора равна 33 МГц. Так как частота резонатора должна быть в два раза меньше частоты командных циклов, выбираем резонатор с частотой 16 МГц НС49/4Н.

Выбираем микросхемы логическое “И” типа 74НС080 National Semiconductor с входными токами 0,5 мА и напряжением 5 В.

Выбираем штыри: ХТ2 - PLD2-26 Бурый Медведь, XT3 - PLD14 Бурый медведь.

Поскольку входной ток выводов микропроцессора составляет 0,5 мА, а напряжение стабилизированного источника питания составляет 5В, выбираем набор резисторов DR1…DR4 АRС241 с сопротивлением 10 кОм, тогда ток выводов микросхемы процессора составит:

Поскольку входной ток выводов микросхемы постоянного записывающего устройства АТ29С010 составляет 2,3 мА, выбираем набор резисторов DR5, DR6 ARC241 с сопротивлением 2,2 кОм, тогда ток выводов микросхемы постоянного записывающего устройства составит:

10.2 Составление таблицы перечня элементов электрооборудования производственной установки

Перечень элементов производственной установки представлен в таблице 10.2.

Таблица 10.2 - Перечень элементов производственной установки

Поз. обозн.

Наименование

Кол.

Примечание

Документация

Пояснительная записка

1

Электродвигатели

НР 30848101

2

Микросхемы

DR1..DR4

Набор резисторов АRC241 10 кОм

5

DR5, DR6

Набор резисторов АRC241 10 кОм

2

DD3

74HC08D

1

DD5

ADSP2185KST-133

1

DD6

AT29C010A-90JC

1

Конденсаторы

С4, С5

ЧИП 0805 18 пФ 50 В NPO

2

BQ1

Резонатор 16 МНZ HC49/4H

XT2

Штырь PLD2-26

1

XT3

Штырь PLD14

1

ЗАКЛЮЧЕНИЕ

В данном дипломном проекте была рассчитан и спроектирован автоматизированный электропривод двухкоординатного модуля для производства интегральных микросхем. В данной производственной установке применён линейный шаговый двигатель с аэростатическими опорами. Спроектированный модуль имеет преимущество перед модулями традиционного типа, выполненными на основе вращающихся двигателей, поскольку в них необходимо применение кинематических преобразователей движения (винт-гайка, шариковая винтовая пара и др.). Вследствие применения аэростатических опор, модуль на основе линейных шаговых двигателей является практически “бесфрикционным” модулем движения. Это обеспечивает отсутствие износа и, следовательно, сохранение точностных характеристик привода в течение всего времени эксплуатации. Поскольку нет необходимости использовать кинематические преобразователи движения, повышается надёжность системы, и сокращаются сроки регламентных работ.

Экономическими расчётами была доказана целесообразность замены линейным шаговым двигателем вращающегося шагового двигателя с кинематическим преобразователем на основе винт-гайки.

Управление автоматизированным электроприводом спроектированной установки осуществляется с помощью сигнального микропроцессора ADSP2185KST-133. Семейство ADSP-2100 представляет собой ряд программируемых процессоров на одном кристалле, которые объединяет общая базовая архитектура, оптимизированная для цифровой обработки сигналов и других операций в области высокоскоростной обработки цифровых данных. Данный процессор наиболее полно удовлетворяет требованиям к микропроцессорам, использующимся для микрошагового управления, имеет развитую встроенную периферию: таймеры, память, удобный механизм обслуживания прерываний и удобную связь с host-ЭВМ (компьютером).

ЛИТЕРАТУРА

1. Илинский Н.Ф. Перспективы применения вентильно-индукторного электропривода в современной технике // Электротехника. 2007. № 2. С. 9 - 15.

2. Балковой А.П. Многокоординатный комплектный дискретный электропривод с микропроцессорным управлением для гибких автоматизированных производств и робототехнических комплексов // Электротехника. 2003. № 6. С. 25 - 40.

3. Балковой А.П. Разработки шагового электропривода на кафедре АЭП МЭИ // Электротехника. 2000. № 2. С. 31 - 49.

4. Балковой А.П., Мухаметгалеев Т. Х., Рыжов С. Н. Уточнённая модель шагового электропривода // Электротехника. 2002. № 6. С. 28 - 35.

5. Ратмиров В.А. Шаговый привод в станках с программным управлением. М., НИИМАШ, 2001 - 123 с.

6. Бондаренко В.И., Писанко В.В., Кацалап С.М. Дискретный электропривод с микропроцессорным управлением // Электротехника. № 5. С. 15 - 17.

7. Руководство пользователя по сигнальным микропроцессорам семейства ADSP-2100. Под ред. Викторова А.Д., С.-П., СПб. ГЭТУ, 2007 - 519 с.

8. Кенио Т. Шаговые двигатели и их микропроцессорные системы управления. М., Энергоатомиздат, 2007 - 200 с.

9. Анхимюк В.Л., Опейко О.Ф. Проектирование систем автоматизированного управления электроприводами: Учеб. пособие для вузов по спец. “Электропривод и автоматизация промышленных установок”: - Мн.: Высш. шк., 2006. - 143 с.

10. Кисаринов Р.А. Справочник электрика. - М.: КубК-а, 2007. -320 с.

Размещено на Allbest.ru


Подобные документы

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.