Разработка гипотетической ЭВМ

Разработка структурной схемы гипотетической ЭВМ, ее функциональной или принципиальной схемы и алгоритма работы конкретного блока, входящего в состав этой ЭВМ. Принципы работы и архитектура центрального процессора и памяти, система прерывания программ.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 25.03.2012
Размер файла 4,1 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Оглавление

1. Цель работы

2. Исходные данные к проекту

3. Разработка структурной схемы ЭВМ

Центральный процессор

Оперативная память

Блок синхронизации

Система прерывания программ

Таймер

Система ввода/вывода

Монитор и видеоадаптер

Клавиатура и мышь

Жесткий диск и НГМД

Список литературы

1. Цель работы

Целью курсового проектирования является углубление и закрепление теоретических знаний студентов, приобретение навыков разработки узлов ЭВМ на структурном, функциональном и алгоритмическом уровнях.

Курсовой проект посвящен разработке структурной схемы гипотетической ЭВМ, функциональной или принципиальной схемы и алгоритма (ов) работы конкретного блока, входящего в состав этой ЭВМ.

2. Исходные данные к проекту

Курсовой проект посвящен разработке структурной схемы гипотетической ЭВМ, описанию ее функционирования. В состав ЭВМ входят как общие для всех вариантов блоки, так и определяемые индивидуальным заданием.

Общее задание:

Общие исходные данные определяют минимальный состав проектируемой ЭВМ и ее основные параметры. В состав ЭВМ входят следующие блоки:

· центральное процессорное устройство (ЦПУ);

· микропрограммное устройство управления (МУУ);

· оперативная память (ОП);

· блок синхронизации (БС);

· система прерывания программ (СПП);

· таймер;

· система ввода-вывода (СВВ);

· монитор, клавиатура, мышь;

· НГМД;

· жесткий диск.

Адресность ЭВМ - двухадресная, длина команды - переменная.

3. Разработка структурной схемы ЭВМ

Т.к. состав проектируемой ЭВМ уже определен в исходных данных, проектирование удобно будет начать с рассмотрения структурной схемы ЭВМ, а затем подробно рассмотреть ее отдельные части.

Согласно заданию, ЭВМ построена на основе общей шины. В данном случае все устройства вычислительной машины подключены к магистральной шине, служащей единственным трактом для потоков команд, данных и управления (Рисунок 1). Наличие общей шины существенно упрощает реализацию ВМ, позволяет легко менять состав и конфигурацию машины. Благодаря этим свойствам шинная архитектура получила широкое распространение в мини и микро ЭВМ. Вместе с тем, именно с шиной связан и основной недостаток архитектуры: в каждый момент передавать информацию по шине может только одно устройство. Основную нагрузку на шину создают обмены между процессором и памятью, связанные с извлечением из памяти команд и данных и записью в память результатов вычислений. На операции ввода/вывода остается лишь часть пропускной способности шины. Практика показывает, что даже при достаточно быстрой шине для 90% приложений этих остаточных ресурсов обычно не хватает, особенно в случае ввода или вывода больших массивов данных.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 1. Структура вычислительной машины на базе общей шины

В целом следует признать, что при сохранении фон-неймановской концепции последовательного выполнения команд программы, шинная архитектура в чистом ее виде оказывается недостаточно эффективной. Более распространена архитектура с иерархией шин, где помимо магистральной шины имеется еще несколько дополнительных шин. Они могут обеспечивать непосредственную связь между устройствами с наиболее интенсивным обменом, например процессором и кэш памятью. Другой вариант использования дополнительных шин -- объединение однотипных устройств ввода/вывода с последующим выходом с дополнительной шины на магистральную. Все эти меры позволяют снизить нагрузку на общую шину и более эффективно расходовать ее пропускную способность.

Ниже представлена структурная схема ЭВМ.

В соответствии с вариантом задания организация ЭВМ - 3-х шинная:

· шина данных - обеспечивает обмен данными между всеми основными блоками ЭВМ.

· шина адреса - используется для задания адреса памяти. Это может быть адрес в ОЗУ, ПЗУ или адрес памяти контроллера внешних устройств.

· шина управления - по этой шине осуществляется передача сигналов управления между всеми блоками ЭВМ.

Рисунок 2. Структурная схема ЭВМ

Далее будет подробно рассмотрено назначение каждого узла и блока, а так же функции, которые они выполняют.

Центральный процессор

Центральный процессор состоит из двух основных частей: операционного блока и устройства управления. Также к составляющим частям процессора можно отнести арифметический сопроцессор и объединенный кэш команд и данных.

Операционный блок (ОБ) (Рисунок 3) с помощью АЛУ, которая в нем содержится, выполняет арифметические и логические операции над поданными на вход операндами. Выбор конкретной операции из всех возможных для данного ОБ определяется кодом операции команды.

Состав ОБ:

· АЛУ Am29332 (32-разрядный)

· Регистровый файл Am29334 (2х16-разрядный)

· Входной регистр данных

· Выходной регистр данных и адреса

Рисунок 3. Структурная схема ПЭ Am2903

Микропрограммное устройство управления (МУУ) организует автоматическое выполнение программ (путем реализации функций управления) и обеспечивает функционирование ВМ как единой системы. Для пояснения функций МУУ ВМ следует рассматривать как совокупность элементов, между которыми происходит пересылка информации, в ходе которой эта информация может подвергаться определенным видам обработки. Пересылка информации между любыми элементами ВМ инициируется своим сигналом управления (СУ), то есть управление вычислительным процессом сводится к выдаче нужного набора СУ в нужной временной последовательности. Основной функцией УУ является формирование управляющих сигналов, отвечающих за извлечение команд из памяти в порядке, определяемом программой, и последующее исполнение этих команд. Кроме того, УУ формирует СУ для синхронизации и координации внутренних и внешних устройств ВМ.

Состав МУУ:

· Секвенсор микрокоманд Am2910

· Регистр команд

· Преобразователь начального адреса (ПНА)

· Микропрограммная память (МПП)

· Регистр микрокоманд

Рисунок 4. Структурная схема МУУ

В качестве секвенсора микрокоманд в блоке микропрограммного управления используется AM2910. Довольно широкие возможности этого прибора позволяют гибко адресовать микропрограммную память. У него существует возможность выборки адреса следующей команды из разных источников (с ПНА, из регистра микрокоманд, из внутреннего регистра, а также из внутреннего счетчика), что позволяет в микроподпрограмме реализовывать переходы, а также использовать в БМУ конвейерную структуру.

Рисунок 5. Устройство управления ЭВМ на базе БИС Am2910

Код операции из регистра команд поступает на вход преобразователя начального адреса, который представляет собой комбинационную схему, структура которой зависит от системы команд и микропрограмм, соответствующих этим командам и их распределению в памяти микропрограмм. С ПНА выдается адрес подпрограммы. Секвенсор выбирает источник адреса и выдает его на адресные входы микропрограммной памяти. Из памяти выбирается микрокоманда и попадает в регистр микрокоманд. Микрокоманда хранится в регистре микрокоманд в течении времени ее выполнения( т.е. 1 такт). В момент, когда микрокоманда начинает выполняться, секвенсор формирует адрес следующей микрокоманды.

Кэш представляет собой быстродействующее статическое ОЗУ небольшого объёма, в котором по мере работы процессора сохраняется наиболее актуальная информация. Принцип работы кэш-памяти следующий: в случае, если ЦП хочет прочесть слово из основной памяти, сначала осуществляется поиск копии этого слова в КЭШе. Если это слово уже в нем (попадание в КЭШ), то обращение к ОП не происходит, а в ЦП передается слово из КЭШа. В случае если такого слова в КЭШе не обнаружено (промах), то слово передается в процессор из ОП с одновременной записью его в КЭШ (а вместе с ним и еще несколько близлежащих слов - оперирует блоками). Постепенно КЭШ заполняется и при очередной вставке необходимо определить, в соответствии с действующим алгоритмом замещения, вероятность обращения к какому блоку меньше всего и следовательно удаление которого в меньшей степени скажется на дальнейший процент попадания.

Функции заполнения КЭШа, замещения блоков данных и поиска данных осуществляет контроллер кэш памяти.

Принято решение об организации объединенного КЭШа команд и данных. В таком КЭШе данные и команды хранятся вместе. Преимущество такой организации в том, что при заданной емкости КЭШа ему свойственна более высокая вероятность попаданий по сравнению с раздельным типом, т.к. оптимальный баланс между командами и данными устанавливается автоматически. Например, если в выполняемом фрагменте программы обращение к памяти связано в основном с выборкой команд, а не данных, кэш-память будет иметь тенденцию насыщаться командами, и наоборот

Способ отображения кэш-памяти выбран множественно-ассоциативный, т.к. является компромиссным между прямым отображением («+» - простая реализация, «-» - относительно низкий уровень попаданий), и полностью ассоциативным («+» - гибкая выборка при выборе строки для обновления, «-» - высокая стоимость).

Алгоритм замещения - LRU (Last Recently Used), который предполагает подсчет обращений к тому или иному блоку (выполняется контроллером).

Также контроллер КЭШ памяти должен обновлять свои данные при изменении соответствующего блока в ОП и наоборот (сквозная запись), однако такой подход приводит к существенному снижению быстродействия. Компромиссным решением является использование бита достоверности. При начале работы все биты достоверности устанавливаются в 0. Когда блок КЭШа первый раз загружается из ОП, его бит достоверности устанавливается в 1. Если блок ОП обновляется из другого источника, минуя кэш (при прямой передаче данных из ПУ в ОП), контроллер проверяет находится ли загружаемый блок в КЭШе. Если да, его бит достоверности устанавливается в 0, чтобы в КЭШе не оказалось устаревших данных. При обратной пересылке данных из ОП в ПУ методом ПДП данные, находящиеся в ОП могут не отображать изменений, внесенных в кэшируемую копию. Поэтому перед их копированием необходимо переписать все изменения из КЭШа в ОП (т.к. прямая пересылка данных между ОП и ПУ осуществляется редко, то это не скажется на быстродействии системы). Таким образом, КЭШ всегда согласован с ОП.

Для проектируемой ЭВМ принята следующая организация КЭШа:

· Кэшированию подвергается только ОЗУ, а не вся ОП - ПЗУ используется крайне редко, поэтому использование КЭШа не приведет к повышению производительности.

· Вся ОЗУ представлена 131072-мя блоками по 16-ть 32-разрядных слов в каждом.

· КЭШ состоит из 1024-ти множеств, по 4 блока в каждом.

Выборка из КЭШа происходит следующим образом:

Для каждого блока ОП поле «Множество» строго определяет множество, в которое может быть записан блок. В нутрии множества блок может занять любое свободное место (всего в множество может быть записано 4 блока). Если свободных мест нет, то новый блок вытесняет один из старых, в соответствии с дисциплиной LRU.

При поиске слова в КЭШе сначала определяется множество, в котором может быть найден блок, содержащий искомую запись. Затем внутри этого множества осуществляется ассоциативный поиск по «Тэгу». Если блок найден, то положение искомого слова внутри него определяет поле «Слово». Если поиск не дал результата, то искомого блока в КЭШе нет и следует загрузить его из ОП.

К каждому блоку прикреплена следующая служебная информация:

· Тэг (7бит)

· Бит достоверности (1бит)

· Счетчик частоты обращения ()

Емкость КЭШа (без учета служебной информации) составляет 256Кб. Организация 4Кx512бит (4К = 1024множества*4блока; 512 = 16слов*32разряда, так как обмен между контроллером и КЭШем происходит поблочно).

Архитектура ЦП (Рисунок 4):

Рисунок 6. Структурная схема процессора

Таким образом, код операции из регистра команд поступает на ПНА. Преобразованный адрес с ПНА идет на секвенсор. Секвенсор выбирает источник адреса и выдает его на вход МПП. На выходах последней появляется микрокоманда и фиксируется в регистре микрокоманд. В момент, когда микрокоманда начинает выполняться, секвенсор формирует адрес следующей микрокоманды.

На границе инструкций контроллер прерываний при активном INT выдает инструкцию вызова прерываний. Далее все штатным образом: выполняется микропроцедура обработки прерывания, которая сохраняет словосостояние процессора, активирует INTA, получат вектор процессора, выполняет обработчик.

Оперативная память

ОП представляет собой единственный вид памяти, к которой ЦП может обращаться непосредственно (исключение составляют лишь регистры центрального процессора). Информация, хранящаяся на внешних ЗУ, становится доступной процессору только после того, как будет переписана в основную память.

Основную память образуют запоминающие устройства с произвольным доступом. Основная память может включать в себя два типа устройств: оперативные запоминающие устройства (ОЗУ) и постоянные запоминающие устройства (ПЗУ).

Преимущественную долю основной памяти образует ОЗУ (RAM -- Random Access Метоry), называемое оперативным, потому что оно допускает как запись, так и считывание информации, причем обе операции выполняются однотипно, практически с одной и той же скоростью, и производятся с помощью электрических сигналов. Для большинства типов полупроводниковых ОЗУ характерна энергозависимость даже при кратковременном прерывании питания хранимая информация теряется. Микросхема ОЗУ должна быть постоянно подключена к источнику питания и поэтому может использоваться только как временная память.

Вторую группу полупроводниковых ЗУ основной памяти образуют энергонезависимые микросхемы ПЗУ (ROM -- Read-Only Memory). ПЗУ обеспечивает считывание информации, но не допускает ее изменения (в ряде случаев информация в ПЗУ может быть изменена, но этот процесс сильно отличается от считывания и требует значительно большего времени).

Блок синхронизации

Блок синхронизации (БС) предназначен для обеспечения синхронной работы всех узлов ЭВМ.

Управляемый блок синхронизации формирует синхронизирующие сигналы, необходимые для обеспечения синхронной работы всех узлов ЭВМ. Управляемость подразумевает возможность приостановления такта, изменения длительности, что бывает необходимо, например, для обмена информацией процессора с медленными внешними устройствами. Основой блока синхронизации является тактовый генератор (ТГ). ТГ может быть микропрограммируемым, что позволит реализовать переменную длительность такта для ЦП.

Рисунок 7. Схема блока синхронизации.

Система прерывания программ

алгоритм процессор память программа

Прерывание программы - это способность ЭВМ временно прекращать выполнение текущей программы при возникновении какого-либо события, вызывать программу обработки этого события, а затем возвращаться к выполнению прерванной программы.

Прерывания могут быть двух типов:

1) Внутренние прерывания, или синхронные прерывания, или программные, или исключения (например деление на 0 или переполнение).

2) Внешние или асинхронные прерывания, связаны с организацией в/в.

Прерывания могут обрабатываться на уровне команд и на уровне микрокоманд. Обработка прерываний на уровне команд осуществляется после завершения текущей операции. Время реакции в этом случае будет равно сумме времени выполнения самой длинной операции и времени запоминание всех программно доступных регистров. В данном случае возможен эффект насыщения по запросам прерываний в случае когда возникает повторный запрос от того же источника, когда первый ещё не обработался, т. о, потеря одного из запросов.

Цепочечная структура прерываний (Рисунок 4) характеризуется наличием единой линией запроса на прерывание, и линией подтверждения прерывания, проходящей через все источники прерываний.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 8. Структура цепочечной системы прерывания

При необходимости произвести прерывание устройство выставляет запрос на прерывание (по линии INT) и разрывает линию подтверждения (INTA). После выполнения очередной инструкции процессор проверяет линию запроса на прерывание. Получив запрос, процессор сохраняет все программно доступные регистры. Затем он посылает сигнал, подтверждающий получение запроса по линии INTA, который проходит от одного устройства к другому, пока не достигнет устройства - инициатора прерывания. Тогда это устройство выставляет на шине данных свой идентификационный номер (вектор). После получения контроллером прерываний (или ЦП) вектора вызывается программа, обрабатывающая прерывание данного устройства. После завершения обработки прерывания производится восстановление программно доступных регистров и возврат в прерванную программу.

Достоинством данной структуры являются более низкие затраты программных и аппаратных средств, а недостатком - фиксированный приоритет устройств, который определяется порядком их подключения.

Таймер

Таймер предназначен для деления машинного времени на временные интервалы, что необходимо для эффективного использования процессора при работе с периферийными устройствами.

В состав таймер входят схемы, необходимые для запроса и получения канала и формирования вектора прерывания. С помощью таймера можно задавать определённые промежутки времени, по истечении которых происходит прерывание. Особенное применение прерываний таймера, основанное на независимости работы микросхемы от процессора - регенерация оперативных запоминающих устройств, например каждые несколько мс таймер выдаёт запрос на прерывание наивысшего приоритета, при наступлении которого производится регенерация памяти.

Система ввода/вывода

По заданию необходимо использовать ввод-вывод с прямым доступом к памяти (ПДП). Данный способ организации ввода-вывода подразумевает использование контроллера прямого доступа к памяти (КПДП).

В режиме ПДП обмен между памятью и периферийными устройствами (ПУ) производится без участия процессора. В обычном режиме пересылка данных между памятью и ПУ требует вначале приема данных в процессор, а затем выдачи их приемнику, что снижает темп передачи. В режиме ПДП процессор отключается от системных шин и передает управление обменом КПДП, что увеличивает темп передачи данных. При ПДП данные не проходят через процессор, и передача слова производится за один цикл (тракт передачи данных непосредственно связывает ПУ и ОП) ПДП особенно эффективен при передачах блоков данных в высоком темпе (например, при обмене данными между внешней памятью и ОП). При ПДП содержимое рабочих регистров МП не нарушается. ПДП предоставляется по завершению текущего машинного цикла.

Возможны 2 вида ПДП:

С одиночными передачами (с захватом цикла системной шины)

В этом случае передачи слов в режиме ПДП перемежаются с выполнением программы, и для передач ПДП выделяются отдельные такты машинных циклов, в которых процессор не использует системные шины. Каждый командный цикл начинается с машинного цикла - выборки команды. В этом машинном цикле есть такт декодирования принятой процессором команды, в котором системные шины не используются. На это время системные шины можно отдать для ПДП и передать одно слово. Но в этом режиме сам обмен ПДП будет не быстрым, темп обмена нерегулярен, т.к. длительности циклов различных команд различны, и, кроме того, ПДП может и замедлить выполнение программы, если цикл ПДП не уложится в интервал, соответствующий такту процессора.

С блочными передачами (с блокировкой ЦП).

В этом случае работа процессора останавливается на все время передачи блока данных. При данном виде ПДП скорость обмена ограничивается длительностью циклов запоминающих устройств (ЗУ), быстродействием самого КПДП и скоростью выдачи/приема данных ПУ.

Взаимодействие блоков микропроцессорной системы при ПДП показано на Рисунке 5 ЦП выполняет операцию программирования КПДП, настраивая его на определенный режим работы, и может читать состояние контроллера.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 9. Взаимодействие устройств микропроцессорной системы при ПДП

Функции контроллера ПДП:

· Управление системными шинами (опрос, захват, высвобождение).

· Формирования адреса ОЗУ и управление адресными линиями.

· Управление пересылкой данных (необходимо устанавливать память в режим записи или чтения).

· Подсчет количества переданных единиц информации.

· Определение момента окончания процедуры обмена.

· Управление режимом ПДП (направление передачи, способ определения конца обмена и т.д.).

Для возможности сопряжения ЭВМ с несколькими ПУ контроллер ПДП должен иметь централизованную структуру и уметь обрабатывать запросы от ПУ.

Контроллеры ПУ обычно имеют несколько программно доступных регистров: команд, адреса, числа слов. В качестве примера КПДП возьмем БИС Intel 8237A (К580ВТ57), структура которой показана на рис.6.

В каждом из каналов контроллера размещено по пять регистров, а именно: 2 регистра адреса (базовый РАi.б и текущий РАi.т), 2 регистра счета слов (базовый РСi.б и текущий РСi.т) и регистр режима РРi.

При программировании в оба РА загружается одно и то же значение адреса, а в оба РС - одно и то же значение размера блока. При ПДП меняются состояния текущих регистров адреса и счета слов. Оба они работают в режиме счетчиков и при передаче очередного слова регистр адреса инкрементируется или декрементируется (в зависимости от программирования контроллера), а регистр счета слов декрементируется. Когда РС дойдет до нулевого состояния, выработается сигнал конца счета. Этим заканчивается режим блочного обмена.

Регистр режима (РР) позволяет настраивать: режим работы контроллера (по требованию, одиночные передачи, блочные передачи, каскадированная схема); тип передач (контроль, запись, чтение, запрещено); произвести выбор канала; направление счета регистра адреса.

Регистр управления (РУ) программируется процессором, сбрасывается по сигналу RST# и позволяет: настроить полярность линий DACK и DRQ; установить формат записи (удлиненная, обычная); установить вид приоритета фиксированный, кольцевой).

Регистр состояния (РС) содержит информацию о текущем состоянии контроллера и может читаться процессором. РС позволяет определить, какие каналы закончили ПДП и какие требуют его.

Регистр масок (РМ) содержит 4 бита, соответствующие 4-м каналам, при установке бита запрещается действие входного запроса DRQ.

Регистр запросов (РЗ) позволяет контроллеру реагировать на запросы ПДП, исходящие от программ. Каждый канал имеет свой бит, биты немаскируемы, но подчиняются требованиям приоритетности.

Временный регистр (ВР) используется при передачах типа “память-память” для временного хранения данных и всегда содержит информацию, переданную в предыдущей операции.

Рисунок 10. Структура КПДП

Монитор и видеоадаптер

Монитор - средство вывода графической и текстовой информации. Большинство мониторов относятся к одному из двух типов: ЭЛТ (на базе электронно-лучевой трубки), или ЖК (для вывода изображения применяются жидкие кристаллы).

Монитор, подключается к системной шине через видеоадаптер (видеоконтроллер). Видеоадаптер последовательно (с определенной частотой) выводит на монитор кадры изображения (страницы). Видеоадаптер может работать в графическом, либо текстовом режиме. В первом случае он обрабатывает изображение попиксельно, а во втором - посимвольно. Для обеспечения своевременной (без запаздываний) регенерации изображения, видеоадаптер заранее подготавливает необходимые в будущем для отображения на экране монитора данные и сохраняет их в памяти. Некоторые видеоадаптеры для этих целей имеют собственную локальную память, а другие, у которых такой памяти нет, используют часть системной памяти. Также некоторые видеоадаптеры берут на себя часть расчетов связанных с построением изображения, освобождая от них центральный процессор.

Таким образом, общая производительность системы повышается.

Рисунок 11. Схема подключения монитора.

Клавиатура и мышь

Клавиатура является основным устройством ввода. Она содержит контроллер, который при нажатии клавиши формирует скан-код (идентификационный номер, присвоенный каждой клавише). Контроллер клавиатуры, после формирования скан-кода нажатия клавиши, вызывает прерывание ЦП. ЦП обрабатывает его с помощью программы обработки прерывания от клавиатуры. Скан-код передается по системной шине от контроллера клавиатуры к ЦП. Для предотвращения потери скан-кодов нажатых клавиш, контроллер клавиатуры имеет буфер FIFO.

Мышь - специальное устройство ввода, которое обычно служит для управления курсором, отображаемом на экране монитора, обеспечивая таким образом взаимодействие с графическим интерфейсом пользователя. Соединение мыши к системной шиной также осуществляется через контроллер. Схема подключения мыши ни чем не отличается от схемы подключения клавиатуры. Контроллер мыши формирует прерывания по нажатию кнопок мыши и по перемещению самой мыши. При перемещение мыши координаты вычисляются с использованием хранящихся в памяти старых координат и смещения мыши.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 12. Схема подключения клавиатуры

Жесткий диск и НГМД

Информация в ЗУ на магнитных дисках (МД) хранится на плоских металлических или пластиковых пластинах (дисках), покрытых магнитным материалом. Данные записываются и считываются с диска с помощью электромагнитной катушки, называемой головкой считывания/записи, которая в процессе считывания и записи неподвижна, в то время как диск вращается относительно нее. При записи на головку подаются электрические импульсы, намагничивающие участок поверхности под ней. Считывание базируется на электрическом токе наводимом в катушке головки, под воздействием перемещающегося относительно нее магнитного поля. Несмотря на разнообразие типов магнитных дисков, принципы их организации обычно однотипны. Все магнитные диски можно разделить на два типа: гибкие (дискеты, НГМД) и жесткие (винчестер). Как и все остальные ПУ магнитные диски подключаются к системной шине через контроллер, который обеспечивает выполнение операции ввода/вывода.

Размещено на Allbest.ru


Подобные документы

  • Разработка алгоритма работы. Выбор и обоснование структурной схемы. Разработка функциональной схемы блока ввода и блока вывода. Проектирование принципиальной схемы блока ввода и блока вывода, расчет элементов. Разработка программного обеспечения.

    курсовая работа [1,7 M], добавлен 25.12.2011

  • История развития центрального процессора. Основные проблемы создания многоядерных процессоров. Проектирование микропроцессорной системы на базе процессора Intel 8080. Разработка принципиальной схемы и блок-схемы алгоритма работы микропроцессорной системы.

    курсовая работа [467,6 K], добавлен 11.05.2014

  • Описание алгоритма работы и разработка структурной схемы МКС. Схема вывода аналогового управляющего сигнала, подключения ЖК-дисплея, клавиатуры и аварийного датчика. Разработка блок-схемы алгоритма главной программы работы МКС. Функция инициализации.

    курсовая работа [5,7 M], добавлен 26.06.2016

  • Разработка гипотетической машины при помощи макросредств ассемблера. Разработка алгоритма для реализации обязательных команд: сравнения двух символьных строк; их обмена; определения длины слова. Основные функции обработки строки, листинг программы.

    курсовая работа [59,6 K], добавлен 14.07.2012

  • Разработка структурной схемы процессора; синтез микропрограммного и управляющего автомата с жесткой логикой. Функциональная организация процессора: программные модели, форматы данных и команд. Организация оперативной памяти. Проектирование блока операций.

    учебное пособие [1,1 M], добавлен 09.04.2013

  • Анализ архитектуры и структуры элементной базы видеоадаптеров компьютера. Учет критериев оптимизации по потребляемой мощности и аппаратным средствам при разработке буферной памяти. Разработка структурной и принципиальной схемы. Подбор блока питания.

    курсовая работа [929,1 K], добавлен 22.04.2014

  • Разработка структурной и принципиальной схемы станции локальной вычислительной сети. Разработка граф схемы алгоритма работы станции в режиме ликвидации логического соединения. Написание программы в командах микропроцессорного комплекта серии PIC16C64.

    курсовая работа [139,7 K], добавлен 14.11.2010

  • Разработка структурной схемы и алгоритма функционирования микропроцессорного модуля программного обеспечения автоматизированной информатизационно-измерительной системы. Характеристика принципиальной схемы модуля, распределения памяти и задание портов.

    курсовая работа [1,2 M], добавлен 28.08.2012

  • Разработка структурной схемы и обобщенного алгоритма работы прибора. Оценка максимальной погрешности линейного датчика давления и нормирующего усилителя. Разработка элементов принципиальной электрической схемы микропроцессорной системы сбора данных.

    курсовая работа [2,1 M], добавлен 08.02.2015

  • Процесс моделирования работы САПР: описание моделирующей системы, разработка структурной схемы и Q-схемы, построение временной диаграммы, построение укрупненного моделирующего алгоритма. Описание математической модели, машинной программы решения задачи.

    курсовая работа [291,6 K], добавлен 03.07.2011

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.