Автоматизация проектирования систем и средств управления (p-cad)

Создание первичной документации для сопровождения изготовления уже разработанной печатной платы "Стабилизатора напряжения". Создание библиотеки компонентов. Добавление в библиотеку элемента "конденсатор электролитический". Трассировка печатной платы.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 19.12.2011
Размер файла 2,7 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Курсовая работа

По курсу:

«Автоматизация проектирования систем и средств управления»

Введение

Наше время - время многообразия электроники требует от разработчиков серьёзных, качественных и структурированных решений в аспекте изготовления электронных устройств. Разработка печатной платы устройства - второй этап после «рождения» принципиальной схемы. Данный этап представляет немалую сложность в своей реализации, но с расширением рынка электроники расширился и инструментарий разработчиков: им на помощь приходят различные САПР. Одной из самых распространенных САПР в России до этого времени являлась САПР «P-CAD» (сейчас: «Altium Designer»). Altium Designer представляет несколько большую сложность в своём обучении, хотя и даёт больше возможностей (таких, как интерактивный 3d-вид и др.), поэтому не все фирмы однозначно спешат переучивать своих сотрудников (даже несмотря на объявленную компанией Altium амнистию за незаконное использование P-CAD при переходе на новый инструмент разработки).

В данной работе будет рассмотрено создание первичной документации для сопровождения изготовления уже разработанного (существует принципиальная схема) устройства. В качестве САПР для реализации проекта выбрана САПР «P-CAD», состоящая (в основном) из редактора библиотек элементов («Library Executive»), инструмента рисования принципиальных схем («Schematic Editor») и инструмента создания печатной платы устройства («PCB Editor»), и позволяющая создавать радиоэлементы с их схемном обозначением и соответствующим посадочным местом, а также производить трассировку печатной платы устройства, соответствующей созданной ранее принципиальной схеме.

Следует отметить, что, несмотря на то, что для свойств элементов можно задать высоту, данная САПР не позволяет получить боковые виды разработанной печатной платы. Любые данные, получаемые как результаты работы данной САПР не соответствуют ГОСТ, поэтому для предприятий, где существует служба технического контроля, данный пакет не может быть использован как инструмент создания конечной документации (долгое время, со времён СССР, так было в силу отсутствия аналогов и малоразвитости компьютерных систем).

1. Постановка задачи

В данной работе будет разрабатываться первичная документация для сопровождения изготовления печатной платы «Стабилизатора напряжения», принципиальная схема которого представлена на рисунке 1.

Рис. 1. Принципиальная схема устройства

Перечень используемых элементов приведён в таблице 1.

Таблица 1. Перечень используемых элементов

Элемент перечня

Номинал

Тип

VT1

КТ315Б

VT2

КТ817А

VD1

Д814В

R1

470 Ом

МЛТ 0,25

R2

100 Ом

МЛТ 0,25

R3

1.5 кОм

МЛТ 0,25

С1

0.047 мкФ

БМ-2

С2

100 мкФ

К-50

Х1

+Uвых

двухсторонний

Х2

+Uвх

двухсторонний

Х3

земля

двухсторонний

Для получения конечного результата нам необходима печатная плата устройства (принципиальная схема и перечень уже имеются). Для получения печатной платы необходимы созданные заранее посадочные места элементов и параметры их соединения, которые берутся из созданной заранее принципиальной схемы. Для начала создадим используемые нами элементы, отсутствующие в стандартной библиотеке.

2. Создание библиотеки элементов

Так как Российские стандарты отличаются от ГОСТ, а использовать мы будем отечественные элементы, то их (элементы) придётся создавать заново. Следует заранее учесть, что в России используется метрическая система, а «за рубежом» дюймовая, так что первое, что необходимо сделать - это переключиться в метрическую систему (в последних САПР P-CAD такая возможность есть) как изображено на рисунке 2. Сделать это нужно в каждом из трёх, описанных выше, приложений.

Рис. 2. Начальные настройки P-CAD

Приступим к созданию самой библиотеки.

2.1 Создание библиотеки

Для создания библиотеки откроем редактор «Library Executive». Внутри редактора выполним команду File>New>Library>Schematic Library.

После этого сохраним пока пустую библиотеку, а затем, сразу добавим её в перечень наших библиотек для редактирования, как показано на рисунке 3.

Рис. 3. Создание библиотеки компонентов

Далее будем по одному создавать компоненты каждого элемента путём создания его схемного обозначения, посадочного места и указания правил их связи.

2.2 Добавление в библиотеку элемента «Резистор»

Создадим в редакторе «Symbol Editor» схемное обозначение резистора (рисунок 4, слева), путём рисования линий. Кроме этого, следует выполнить системные правила P-CAD, позволяющие ему «понимать» где начало компонента или его конец, или просто вывод и что это за компонент. Для этого добавим командой Place -> Pin «пины» элемента, поясняющие системе P-CAD, что это вывода, атрибуты элемента, поясняющие что это за элемент и его номинал: RefDes и Value через команду Place -> Attribute, а также Reference point (квадратик), который указывает на начало элемента. После чего если командой Utils -> Validate получаем сообщение, что ошибок не обнаружено - сохраняем (наш случай).

Следует отметить, что созданное условно-графическое обозначение (далее - УГО) может соответствовать нескольким компонентам, так же как и ему внутри компонента могут соответствовать несколько посадочных мест, выбираемых при проектировании печатной платы.

Аналогично в редакторе «Pattern Editor» создаём посадочное место для резистора (рисунок 4, справа). Значение RefDes можно делать невидимым (чтобы не мешалось). Очень важно не забывать о размере отверстий для различных элементов: после постановки контактной площадки (командой Place -> Pad) из контекстного меню выбрать его свойства и отредактировать стиль площадки путём создание собственного (как на рисунке 5). При этом следует диаметр отверстия делать чуть больше, чем диаметр вывода элемента, а разность между диаметром контактной площадки и диаметром отверстия не должна быть слишком маленькой - иначе контактная площадка будет плохо связана с материалом печатной платы (текстолит или другой) и может «отойти» при монтаже или эксплуатации, что в свою очередь может привести в будущем к поломке и другим последствиям.

Далее созданные УГО и посадочное место элемента следует собрать в единый компонент. Для этого необходимо создать компонент, что можно сделать при сохранении УГО или посадочного места или специальной командой в Library Executive.

 

Рис. 4. Обозначение резистора на принципиальной схеме

Рис. 5. Редактирование стиля контактной площадки

Перейдём к созданному компоненту. Дадим ему имя и выберем заранее созданные обозначение и посадочное место (рисунок 6, слева). В графе Gate Equivalent (рисунок 6, справа) поставим единицы для обоих пинов, т.к. резистор - симметричное устройство.

 

Рис. 6. Создание компонента

После успешной проверки на ошибки командой Utils -> Validate сохраняем элемент в библиотеке. Теперь этот элемент можно использовать и в последующих проектах.

2.3 Добавление в библиотеку элементов «Транзисторы»

Оба транзистора npn-типа. Значит, УГО будет одно на двоих.

Рис. 7. Обозначения транзисторов КТ817

В таблицах соответствия выводов (рисунок 8) указываем, какому выводу соответствует эмиттер, коллектор и база (в соответствие с их спецификацией, рисунок 9).

Рис. 8. Таблицы параметров выводов для транзисторов

 

Рис. 9. Спецификации транзисторов

2.4 Добавление в библиотеку элемента «Конденсатор электролитический»

Создадим элемент требуемого конденсатора (рисунок 11) в соответствии со спецификацией (рисунок 10).

Рис. 10. Спецификация поляризованного конденсатора

Рис. 11. Обозначение поляризованного конденсатора и его таблица соответствия

2.5 Добавление в библиотеку элемента «Конденсатор неполярный»

Создадим элемент требуемого конденсатора (рисунок 12) аналогично предыдущему пункту.

Рис. 12. Обозначение не поляризованного конденсатора

2.6 Добавление в библиотеку элемента «Стабилитрон»

Создадим элемент стабилитрона (рисунок 13) в соответствии с его внешним видом (рисунок 14). Кнопкой Next Pattern Graphics можно добавлять разные посадочные места для одного и того же компонента.

 

Рис. 13. Обозначение стабилитрона

Рис. 14. Внешний вид стабилитрона

2.7 Добавление в библиотеку элемента «Клеммник»

В качестве разъёма для коммутации входных и выходных цепей будем использовать очень распространённые и популярные среди разработчиков клеммы (рисунок 15).

Рис. 15. Обозначение и внешний вид клеммы

3. Создание принципиальной схемы

В редакторе «Schematic Editor» cоздадим принципиальную схему устройства (рисунок 17) согласно заданию. Для этого вначале добавим в список используемых библиотек созданную нами, выполнив команду Library -> Setup -> Add как на рисунке 16.

Рис. 16. Добавление библиотеки

Рис. 17. Принципиальная схема устройства

После окончания создания принципиальной схемы устройства командой Utils -> Generate Netlist создадим файл связей (рисунок 18), который позволит продолжит создание устройства в редакторе PCB.

Рис. 18. Генерация файла связей для трассировки

Теперь можно приступить к трассировки печатной платы.

печатный плата конденсатор трассировка

4. Трассировка печатной платы

Для начала, как и для редактора Schematic, выполним подключение библиотеки, а затем загрузим наш файл соединений (рисунок 19).

Рис. 19. Загрузка файла соединений.

После этого получим нерасставленные детали с подсвеченными связями, как на рисунке ниже.

Рис. 20. Загруженные детали со связями

Выделив стабилитрон, выполним команду Edit -> Component -> Pattern Graphics и в появившемся окне (рисунок 21) выберем другое посадочное место, т.к. в данной схеме предпочтительно (и единственно возможно) установить стабилитрон «стоя».

Рис. 21. Выбор другого посадочного места для стабилитрона

Расположим элементы, наблюдая за связями, по возможности так, чтобы потом было удобно прокладывать проводники без их пересечения. Постараемся добиться трассировки платы как односторонней.

На трассировке, представленной выше, дорожки не проходят через контактные площадки (малого размера) мимо (где возможно: площадки транзистора слишком близки друг к другу, поэтому проводник проходит сквозь неё, а прокладывание проводника в обход - излишне для такой предусмотрительной операции). Это сделано для случая ремонта модуля: при выпаивании неработоспособного элемента и дальнейшем запаивании нового контактная площадка может отделиться от одной из частей проводника, в результате чего такой вид обрыва мастеру, возможно, будет найти проблематично в силу неочевидности такового (если имеет место).

Для трассировки был использован встроенный в P-CAD полуавтоматический трассировщик «Advanced Route», позволяющий вести проводники от места до места без их пересечений (если это возможно), соблюдая различные правила расстояние между дорожками и т.д. После осознания очевидности факта односторонности платы, была произведена процедура, описанная выше, а также процедура минимизации длины проводников. Для добавления точки на линию проводника необходимо вызвать контекстное меню и выбрать пункт «Add Vertex».

Заканчивается создание печатной платы рисованием линии контура платы в слое Board и обязательной проверкой на ошибки (соблюдение правил расстояния) командой Utils -> DRC.

Проверка DRC на текущую трассировку выдала следующую ошибку:

Листинг 1. Ошибки DRC.

CLEARANCE VIOLATIONS:

Error 1 - Clearance Violation between:

* Pad R1-2 at (55.626, 67.818) mm [Bottom layer]

* Line at (59.944, 66.802): (50.038, 66.548) mm [Bottom layer]

* Calculated Clearance: 0.026mm.

* Rule: Layer{Bottom}.PadToLineClearance=12.0mil

0 warning(s) detected.

1 error(s) detected.

Действительно, можно заметить, что проводник от транзистора до полярного конденсатора проходит ближе к контактной площадке резистора, чем того требуют правила. Это не было замечено разработчиком сразу, но будет исправлено.

Теперь DRC не выдаёт ошибок:

Листинг 2. Отсутствие ошибок DRC.

DRC Summary:

-

Netlist:

Errors: 0

Warnings: 0

Ignored Errors: 0

Clearance:

Errors: 0

Warnings: 0

Ignored Errors: 0

Unrouted Nets:

Errors: 0

Warnings: 0

Ignored Errors: 0

Unconnected Pins:

Errors: 0

Warnings: 0

Ignored Errors: 0

Net Length:

Errors: 0

Warnings: 0

Ignored Errors: 0

Text:

Errors: 0

Warnings: 0

Ignored Errors: 0

Width:

Errors: 0

Warnings: 0

Ignored Errors: 0

Copper Pour:

Errors: 0

Warnings: 0

Ignored Errors: 0

Plane:

Errors: 0

Warnings: 0

Ignored Errors: 0

Component:

Errors: 0

Warnings: 0

Ignored Errors: 0

Drilling:

Errors: 0

Warnings: 0

Ignored Errors: 0

5. Генерация перечня деталей для изготовления

В данном примере перечень уже имеется, но некоторые аспекты так и остаются не закрытыми: нам указывались порты входа / выхода, но не говорилось как их реализовывать. Мы выбрали клеммники. Теперь они входят в перечень. P-CAD способен генерировать «лёгкий» перечень: интуитивно понятную таблицу (настраиваемую), колонки которой формируются на базе параметров элементов, присвоенных им при создании библиотеки. Для этого мы должны выполнить команду File -> Reports.

Листинг 3. BOM.

P-CAD Bill of Materials I:\Info\me-progect\…\v3.PCB

ComponentName RefDes Value Count

C_TH_5 C2 47n 1

KT315 VT1 1

KT817 VT2 1

RESISTOR R3 1k5 1

RESISTOR R2 100R 1

RESISTOR R1 470R 1

X_KL311_2R XP1 IN 1

X_KL311_2R XP2 OUT 1

Д815 VD1 1

Список литературы

1. Цифровая схемотехника: Учеб. пособие для вузов / Угрюмов Е.П. - СПб.: БХВ-Петербург, 2002. - 528 с.: ил.

2. Дульнев Г.Н. Тепло- и массообмен в радиоэлектронной аппаратуре: Учебник для вузов по специальности «Конструирование и производство радиоаппаратуры». - М.: Высш. шк., 1984. - 247 с., ил.

3. Токмакова В.Ф. Расчет надежности устройств автоматики и телемеханики. Методические указания по выполнению дипломных проектов для студентов специальности 0606 дневного и заочного обучения. - Тула: ТулГУ, 1984. - 28 с., ил.

4. Электромагнитная совместимость радиоэлектронных средств: Учебное пособие для вузов. Под ред. Буги Н.Н. - М.: Радио и связь, 1993. - 240 с.

Размещено на Allbest.ru


Подобные документы

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.