16-разрядный модуль ПЗУ для процессора 80286 емкостью 256КБ

Разработка принципиальной электрической схемы электронного устройства ЭВМ. Построение модуля памяти ПЗУ на основе Intel 80286. Описание микросхемы, основных узлов и блоков. Расчет максимальной мощности, потребляемой устройством в рабочем режиме.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 26.12.2012
Размер файла 944,8 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Содержание

Введение

1. Разработка структурно-функциональной схемы

2. Выбор элементной базы

3. Описание построения основных узлов и блоков

4. Описание функционирования схемы

5. Расчетная часть

Список использованных источников

Приложение 1: спецификация проекта

Приложение 2: анализ работы схемы

Приложение 3: принципиальная электрическая схема

Введение

Внедрение микропроцессорной, и вообще цифровой, техники в устройства управления промышленными объектами требует от специалистов самого различного профиля быстрого освоения этой области знания. В процессе разработки функциональных схем цифровых устройств отчетливо выделяются два характерных этапа. На первом этапе, который можно назвать структурным проектированием, заданный неформально алгоритм разработчик представляет в виде последовательности некоторых операторов, таких, как получение результата, счет, преобразование кода, передача информации. При этом он старается использовать ограниченный набор общепринятых операторов. При использовании этих операторов, как правило, алгоритм можно представить довольно небольшим их числом. Структура алгоритма становится обозримой, понятной, легко читаемой и однозначной. На основе полученной структуры алгоритма формулируются технические требования к схемам, реализующим отдельные операторы. По техническим требованиям в качестве функциональных узлов схемы можно применить либо готовые блоки в интегральном исполнении, либо, если таких микросхем в наличии нет, синтезировать их из более простых элементов.

Подобный синтез первоначально производится при помощи алгебры логики, после чего по полученным функциям строится эквивалентная схема. Однако, как правило, синтезированные схемы хуже их аналогов в интегральном исполнении. К этому приводят следующие обстоятельства: большее время задержки, большие габариты, большее потребление энергии. Поэтому результативного проектирования цифровых устройств разработчик должен уметь: выбрать наиболее приемлемый вариант решения поставленной задачи, работать с алгеброй логики, знать основные цифровые элементы и уметь их применять, по возможности знать наиболее простые и распространенные алгоритмы решения основных задач. Знание наиболее распространенных инженерных приемов в проектировании устройств позволит в будущем сразу воспользоваться готовой схемой, не занимаясь бесполезной работой. Необходимо заметить, что реализация схемы гораздо сложнее, чем простое решение задачи в алгебре логики и наборе полученной функции из логических элементов.

В действительности даже, казалось бы, самые простые элементы, необходимо включать по определенной схеме, знать назначения всех выводов. Необходимо знать, чем различаются элементы в пределах серии. Понимание внутренней логики микросхемы особенно важно именно для специалистов по автоматике и промышленной электронике, поскольку цифровые микросхемы изначально создавались для выполнения строго определенных функций в составе ЭВМ. В условиях автоматики и радиотехники они часто выполняют функции, не запланированные в свое время их разработчиками, и грамотное использование микросхем в этих случаях прямо зависит от понимания логики их работы. Хорошее знание тонкостей функционирования схем узлов становится жизненно необходимым при поиске неисправностей, когда нужно определить, имеется ли неисправность в данном узле или же на его вход поступают комбинации сигналов, на которые схема узла не рассчитана. Составление тестов, а тем более разработка само проверяемых схем также требуют очень хороших знаний принципов работы узлов.

Цель курсового проекта: изучение схемотехники узлов и устройств ЭВМ, приобретение навыков построения принципиальных электрических схем, ознакомление с составом серий интегральных микросхем (ТТЛ-серии) и использованием микросхем при построении электрических схем узлов и устройств ЭВМ.

Задание на проектирование: разработать принципиальную электрическую схему узла, блока, электронного устройства ЭВМ.

Тема задания курсового проектирования: 16-разрядный модуль ПЗУ для процессора 80286 ёмкостью 256КБ.

1. Разработка структурно-функциональной схемы

Постоянные запоминающие устройства предназначены для хранения однократно записанной информации при отключении источника питания. ПЗУ применяется для хранения как системных, так и прикладных программ, последовательности микрокоманд, а также для создания различных преобразователей кодов. Такие запоминающие устройства работают в режимах хранения и считывания информации и не допускают смену своего информационного содержания.

Структуру построения модуля памяти определяют входные и выходные нагрузочные характеристики БИС ПЗУ и согласующих схем, а также их временные характеристики.

Перед построением модуля ПЗУ нужно определиться с БИС ПЗУ и оптимальной организации накопителя ПЗУ, то есть соотношение числа слов и разрядов. Выбор типа БИС ПЗУ определяется параметрами: емкостью, быстродействием, потребляемой мощностью и т. д. Характеристики модуля ПЗУ зависят от способа объединения БИС ПЗУ, предусматривающего соответствующие схемы согласования нагрузок по входам и выходам. Блок ПЗУ включает модуль ПЗУ, составленный из микросхем памяти, и контроллер ПЗУ, а также при необходимости средства сопряжения с магистралью, реализуемые на основе интерфейсных микросхем. Тип интерфейса, принятый в данной микропроцессорной системе, оказывает значительное влияние на схему и характеристики контроллера и устройств сопряжения. Физический интерфейс представляет собой унифицированную магистраль из линий передачи сигналов, функционально объединенных шиной адреса ША, по которой передаются адресные сигналы, шиной данных ШД, по которой осуществляется обмен данными, и шиной управления ШУ, по которой распространяются сигналы управления.

Для микропроцессорных систем построенных на основе процессора Intel 80286 магистраль включает 24-разрядную ША, 16-разрядную ШД и шину управления, из которой для блока ПЗУ используются 2 сигнала «\MEMR» и «SBHE».

Блок-схема разрабатываемого устройства памяти приведена на рис. 1.

Рис.1. Структурно-функциональная схема ПЗУ на основе Intel 80286

2. Выбор элементной базы

Проектирование модуля ПЗУ заключается в разработке такой структуры ПЗУ, которая позволяет обеспечить организацию ПЗУ ёмкостью в 256Кx16 для процессора Intel 80286.

В разрабатываемом устройстве в качестве элемента памяти используется микросхема M27W201, так как имеет уменьшенный расход энергии и высокую надежность. Эта ИС имеет организацию 256К8 и, следовательно, допускает считывание информации восьмиразрядными словами (байтами). Так как емкость проектируемого ПЗУ 256 КБx16, необходимо использовать 2 микросхемы M27W201. Особенность микросхемы состоит в наличии у нее дополнительного входа для сигнала управления состоянием выхода ОЕ.

Блок выходных формирователей осуществляет согласование уровней выходных сигналов информации поступающих с усилителей на выход, а также преобразование входной информации для подачи ее в накопитель.

Блок управления осуществляет синхронизацию работы микросхемы в режимах выборки, считывания. Управление режимами работы микросхемы осуществляется сигналами \MEMR, SBHE.

Микросхема M27W201:

Графическое обозначение микросхемы M27W201 показано на рис. 2.

Микросхема имеет восьмиразрядную организацию 256К*8 бит. Основные параметры микросхемы:

· Напряжение питания: 5V;

· Время задержки: 60нс;

· Рабочая температура:0-70 °С;

· Совместимость с ТТЛ.

Рисунок 2 - Графическое обозначение микросхемы M27W201

Таблица 1. Назначение выводов

А0-А17

Входы адреса

Q0-Q7

Выходы данных

\OE

Включение чипа

\CS

Включение выхода

Таблица 2. Статические характеристики режима чтения

Символ

Параметр

Тестовое условие

Мин.

Макс.

Ед. изм.

ILI

Входной ток утечки

0V<=VIN<=VCC

+10

uA

ILO

Выходной ток утечки

0V<=VOUT<=VCC

+10

uA

ICC

Ток предоставления

\E=VIL,\G=VIL,IOUT=0mA,f=5MGh,VCC<=3.6В

15

mA

ICC1

Предоставление текущего(резервного) ТТЛ

\E=VIH

1

mA

ICC2

Предоставление текущего(резервного) CMOS

\E>VCC-0.2В, VCC<=3.6В

15

uA

IPP

Ток программы

VPP=VCC

10

uA

VIL

Входное низкое напряжение

-0.6

0.2VCC

В

VIH(2)

Входное высокое напряжение

0.7VCC

VCC+0.5

В

VOL

Выходное низкое напряжение

IOL=2.1mA

0.4

В

VOH

Выходное высокое напряжение

IOH=-400uA

2.4

В

Таблица 3. Динамические характеристики режима чтения

Символ

Альт

Параметр

Тестовое условие

-80(3)

-100(-120/-150/-200)

Ед. изм.

VCC=3 до 3.6В

VCC=2.7 до 3.6В

VCC=2.7 до 3.6В

Мин.

Макс.

Мин.

Макс

Мин.

Макс

tAVQV

tACC

Допустимый адрес-допустимые данные

\E=VIL, \G=VIL

70

80

100

нс

tELQV

tCE

Включение чипа-допустимые данные

\G=VIL

70

80

100

нс

tGLQV

tOE

Включение выхода-допустимые данные

\E=VIL

40

50

60

нс

tEHQZ(2)

tDF

Чип выкл.-3 сост. выхода

\G=VIL

0

40

0

50

0

60

нс

tGHQZ(2)

tDF

Выкл. выход-3 сост. выхода

\E=VIL

0

40

0

50

0

60

нс

tAXQX

tOH

Изменение адреса-изменение данных

\E=VIL, \G=VIL

0

0

0

нс

Временная диаграмма работы микросхемы в режиме чтения показана на рисунке 3:

Рисунок 3 - Временная диаграмма работы микросхемы M27W201 в режиме чтения

В качестве шинного формирователя используется микросхема К1533АП14.Она используется как буферное устройство шины данных в микропроцессорных системах. Большая выходная мощность и простота управления позволяют использовать ее для построения однонаправленных согласующих буферов межмодульной связи, либо как простые усилительные каскады. Графическое обозначение микросхемы К1533АП14 показано на рис. 4.

Рисунок 4 - Графическое обозначение микросхемы К1533АП14

Формирователь состоит из восьми одинаковых функциональных блоков с общими сигналами управления OE1 и ОЕ2. Каждый функциональный блок состоят из усилителя-формирователя с Z-состояниями на выходах.

Таблица состояний микросхемы К1533АП14 изображена ниже:

Таблица

\OE1

\OE2

DO

0

0

DI

X

1

Z

1

X

Z

Основные достоинства шинного формирователя К1533АП14:

большой выходной ток при малом входном;

отсутствие шума на выходе при переключениях.

Выводы этой микросхемы имеют следующие функциональные назначения:

I0..I7 -- входы/выходы линий данных;

O0..O7 -- входы/выходы линий данных;

OE1 и OE2 -- входные сигнал разрешения передачи. При OE1 = 0и OE2=0микросхема находится в рабочем состоянии. При переходе одного из сигналов в высокий уровень происходит переход в Z-состояние, который не дает отрицательных выбросов на выходах ИС.

В качестве блока управления используется набор микросхем: КР1531ЛЕ7 (5ИЛИ-НЕ), КР531ЛА16 (4И-НЕ), К155ЛА18 (2И-НЕ). Графические изображения микросхем, входящих в блок управления, и их характеристики приведены ниже.

Микросхема КР1531ЛЕ7:

2 логических элемента 5ИЛИ-НЕ

Рисунок 5 - Графическое обозначение микросхемы КР1531ЛЕ7

Таблица назначения выводов:

Вывод

Назначение

1, 2, 3, 12, 13, 4, 8, 9, 10, 11

Информационные входы

5, 6

Информационные выходы

7

Общий

14

+5В

Таблица характеристик:

Параметр

Значение

Iпот, мА<=

45

I0вх, мА<=

|-2|

I1вх, мА<=

0.05

I0вых, мА<=

20

I1вых, мА<=

|-1|

U0вых, В<=

0.5

U1вых, В>=

2.7

t1,0зд.р, нс<=

6

t0,1зд.р, нс<=

5.5

Микросхема КР531ЛА16:

2 логических элемента 4 И-НЕ

Рисунок 6 - графическое обозначение микросхемы КР531ЛА16

Таблица назначения выводов:

Вывод

Назначение

1, 2, 4, 5, 9, 10, 12, 13

Информационные входы

6, 8

Информационные выходы

7

Общий

14

+5В

Таблица характеристик:

Параметр

Значение

Iпот, мА<=

44

I0вх, мА<=

|-4|

I1вх, мА<=

0.1

I0вых, мА<=

60

I1вых, мА<=

|-40|

U0вых, В<=

0.5

U1вых, В>=

2.7

t1,0зд.р, нс<=

6.5

t0,1зд.р, нс<=

6.5

Микросхема К155ЛА18:

2 логических элемента 2И-НЕ

Рисунок 7 - Графическое изображение микросхемы К155ЛА18

Таблица назначения выводов:

Вывод

Назначение

1, 2, 6, 7

Информационные входы

3, 5

Информационные выходы

4

Общий

8

+5В

Таблица характеристик:

Параметр

Значение

Iпот, мА<=

71

I0вх, мА<=

|-1.6|

I1вх, мА<=

0.04

I0вых, мА<=

300

I1ут, мА<=

0.1

U0вых, В<=

0.4

t1,0зд.р, нс<=

35

t0,1зд.р, нс<=

35

3. Описание построения основных узлов и блоков

Разрабатываемое устройство состоит из следующих функциональных блоков:

· Блока ПЗУ на двух микросхемах M27W201

· Шинного формирователя на двух микросхемах К1533АП14

· Блока управления на микросхемах 2И-НЕ К155ЛА18, 5ИЛИ-НЕ КР531ЛЕ7, 4И-НЕ КР531ЛА16, а также четыре перекидных ключа.

Блок дешифрации адресного пространства собран на логическом вентиле 2И-НЕ (микросхема К155ЛА18), логическом вентиле 5ИЛИ-НЕ (микросхема КР531ЛЕ7) ,логическом вентиле 4И-НЕ (микросхема КР531ЛА16). Он принимает сигналы микропроцессора и вырабатывает сигналы \CS для управления микросхемами модуля ПЗУ.

На логическом вентиле 2И-НЕ, логическом вентиле 5ИЛИ-НЕ, логическом вентиле 4-НЕ, собрана схема выбора кристалла памяти (общий объем памяти - 256К*16, объем одной микросхемы памяти - 256Кб*8). На входы вентилей 2И-НЕ поданы адресные разряды А20 - А23; сигналы с этих микросхем, а также разряды адреса A18, A19 поступают на микросхемы 5ИЛИ-НЕ. Эти сигналы имеют значение только, когда на блок управления поступают управляющие сигналы от процессора и установлены определенные значения перекидных ключей. До этого момента обе микросхемы находятся в режиме хранения. Блок управления собран на логическом вентиле 5ИЛИ-НЕ (микросхема КР531ЛЕ7) и 4И-НЕ(микросхема КР531ЛА16). Логический вентиль 5ИЛИ-НЕ принимает сигналы микропроцессора \MEMR, SBHE и вырабатывает сигнал \СS,который посылается на микросхемы M27W201 и КР1533АП14. Сигнал \CS возвращается на шину в виде сигнала \MEMCS16. К выводам \CS микросхем M27W201 и выводам \OE2 микросхем КР1533АП14. Выводы \OE микросхем M27W201 и выводы \OE1 микросхем КР1533АП14 подключены к выводу GND (земля).

4. Описание функционирования схемы

На входы элементов К155ЛА18 подаются старшие разряды адреса A20-A23. С их помощью происходит выбор адресного пространства для микросхемы. Перекидные ключи делают возможным инвертировать старшие адресные разряды A20-A23 с помощью микросхем 2И-НЕ К155ЛА18, либо подать их без изменения на входы следующей микросхемы блока управления 5ИЛИ-НЕ КР531ЛЕ7.

На входы этой микросхемы подаются все старшие адресные разряды A18-A19 (без изменения) и A20-A23 с возможностью инверсии. Также входы КР531ЛЕ7 подается сигнал управления \MEMR, который разрешает чтение.

С выходов КР531ЛЕ7 сигналы подаются на входы микросхемы 4И-НЕ КР531ЛА16. Также на эту микросхему подаётся сигнал управления SBHE. Таким образом, если на хотя бы один вход КР531ЛЕ7 подаётся логическая «1», то на выходе будет «0» и каким бы ни было значение сигнала SBHE, микросхема выключается. Это возможно, если значение любого из старших адресов A18-A23 не соответствует адресному пространству микросхемы, выставленному с помощью ключей, либо если сигнал «чтение» \MEMR равен логической «1». Если всё же на выходе КР531ЛЕ7 логический «0», а сигнал SBHE, который разрешает использование старший разрядов шины данных D8-D15, на входе КР531ЛА16 равен «0», то сигнал на выходе \CS будет равен «1» и микросхемы памяти M27W201 и шинные формирователи КР1533АП14 будут выключены. На шину возвращается сигнал \MEMCS16 активный низким уровнем. Этот сигнал равен «0», если сигнал \CS, управляющий микросхемой, равен «0», и означает, что выбрана 16-разрядная память.

5. Расчётная часть

Мощности, потребляемые микросхемами и их временные задержки приведены в таблице:

Таблица

Микросхема

Макс. Потребляемая мощность, мВт

Время задержки, нс

M27W201

67.5

60

К1533АП14

148.5

13,25,40(*)

КР531ЛА16

198

6.5

КР531ЛЕ7

40.5

6, 5.5(**)

К155ЛА18

319.5

35

*Значения 13,25 и 40 для состояний t1(0),0(1), t3,0(1) и t0(1),3 соответственно

**Значения 6 и 6.5 для состояний t1,0 и t0,1

Максимальная мощность, потребляемая устройством в рабочем режиме, может быть определена по формуле:

.

После подсчета получаем полную мощность устройства: Рmax = 1308.5 мВт.

Элементы К155ЛА18, КР531ЛЕ7, КР531ЛА16 входят в блок управления, соответственно максимальное время задержки блока управления: tзад = 35 + 6 + 6.5 = 47.5 нс.

Максимальное время доступа проектируемого устройства является суммой временных задержек блока управления, блока запоминающих элементов, шинного формирователя: tдост. = 35 + 6 + 6.5 + 60 + 40 = 147.5 (нс).

Полный цикл работы устройства от момента включения и до момента выключения, изображенный в приложении 3 на временной диаграмме составляет сумму временных задержек КР531ЛЕ7, КР531ЛА16, M27W201 и КР1533АП14 сначала в режиме включения, а потом при выключении: (5,5+6,5+60+25)+(6+6,5+60+40)=209,5 нс.

Подробное описание временных задержек устройства во всех режимах приводится в приложении 3.

электронное устройство модуль память

Приложение 1:

Спецификация проекта

Формат

Зона

Поз

Обозначение

Наименование

Кол

Примечание

Документация

Лист формата А3

1

Пояснительная записка

1

Микросхемы

DD1-DD2

М27W201

2

DD3-DD4

К1533АП14

2

DD5

КР531ЛЕ7

1

DD6

КР531ЛА16

1

DD7-DD8

К155ЛА18

2

S0-S3

Перекидные ключи

4

Конденсаторы

2

Изм

№ листа

№ документа

Подпись

Дата

Лит

Лист

Листов

1

1

Приложение 2

Анализ работы схемы

Для анализа работы схемы используется временная диаграмма режима чтения проектируемого устройства, изображенная на рисунке 8.

Рисунок 8 - Временная диаграмма работы устройства в режиме чтения

На временной диаграмме видно, что в начальный момент времени на адресные входы A0- A23 подаются сигналы адреса, на управляющие входы \MEMR и SBHE подается «1» и «0» соответственно, на информационных выходах D0-D15 высокоимпедансное состояние.

В момент времени t1 происходит изменение адресных сигналов А0-А17, А18-А23 таким образом, что их значение удовлетворяет текущему расположению микросхемы в адресном пространстве. Сигналы управления \MEMR и SBHE становятся равными «0» и «1» соответственно, что включает микросхему. Через время равное времени задержки включения устройства t1=97 нс на информационных выходах микросхемы появляются данные. Расчет времени задержки описан в расчетной части.

Далее в момент t3 происходит изменение значений сигналов управления \MEMR и SBHE на противоположные, адресные сигналы изменяют свои значения таким образом, что адреса A0-A17 удовлетворяют текущему расположению микросхемы в адресном пространстве, а сигналы А18-А23 нет. В таком случае каким бы ни было значение управляющих сигналов \MEMR и SBHE микросхема не будет работать, т.е. на ее информационных выходах будет высокоимпедансное состояние. Длительность момента t3=6+6,5+60+40=112,5 нс. Таким образом цикл чтения составляет t2=t4=t1+t3=209,5 нс.

Моменты времени t5, t6, t7 идентичны временам t1, t2 и t3 соответственно, поэтому их рассмотрение необязательно.

Приложение 3

Принципиальная электрическая схема

Размещено на Allbest.ru


Подобные документы

  • Функциональная схема микропроцессора Intel 8086 (i8086). Формирование физического адреса памяти, выборка команд из памяти и запись их в очередь команд. Система команд процессора. Суть защищенного режима, переход из защищенного режима в реальный режим.

    практическая работа [93,3 K], добавлен 24.03.2013

  • Разработка условного графического обозначения элемента схемы. Разработка посадочного места, типового компонентного модуля. Формирование технического задания. Макетирование отдельных узлов и устройства. Разработка схемы электрической принципиальной.

    методичка [2,1 M], добавлен 26.01.2009

  • Расчет статического модуля оперативной памяти и накопителя. Построение принципиальной схемы и временной диаграммы модуля оперативного запоминающего устройства. Проектирование арифметико-логического устройства для деления чисел с фиксированной точкой.

    курсовая работа [3,2 M], добавлен 13.06.2015

  • Разработка устройства, реализующего набор команд из числа операций с плавающей точкой семейства процессора i486. Структура сопроцессора FPU. Принцип выполнения операций, разработка блок-схемы, построение структурной схемы основных блоков процессора.

    курсовая работа [734,9 K], добавлен 27.10.2010

  • Разработка структурной схемы устройства управления учебным роботом. Выбор двигателя, микроконтроллера, микросхемы, интерфейса связи и стабилизатора. Расчет схемы электрической принципиальной. Разработка сборочного чертежа устройства и алгоритма программы.

    курсовая работа [577,8 K], добавлен 24.06.2013

  • Разработка структурной схемы и алгоритма функционирования микропроцессорного модуля программного обеспечения автоматизированной информатизационно-измерительной системы. Характеристика принципиальной схемы модуля, распределения памяти и задание портов.

    курсовая работа [1,2 M], добавлен 28.08.2012

  • Иерархия запоминающих устройств ЭВМ. Микросхемы и системы памяти. Оперативные запоминающие устройства. Принцип работы запоминающего устройства. Предельно допустимые режимы эксплуатации. Увеличение объема памяти, разрядности и числа хранимых слов.

    курсовая работа [882,6 K], добавлен 14.12.2012

  • Функциональная схема и алгоритм работы устройства. Техническое обоснование выбора серии ИМС. Состав и описание работы узлов устройства. Расчёт необходимых сопротивлений резисторов, потребляемой мощности и тока. Построение и анализ временных диаграмм.

    курсовая работа [311,7 K], добавлен 19.05.2011

  • Анализ архитектуры и структуры элементной базы видеоадаптеров компьютера. Учет критериев оптимизации по потребляемой мощности и аппаратным средствам при разработке буферной памяти. Разработка структурной и принципиальной схемы. Подбор блока питания.

    курсовая работа [929,1 K], добавлен 22.04.2014

  • История развития центрального процессора. Основные проблемы создания многоядерных процессоров. Проектирование микропроцессорной системы на базе процессора Intel 8080. Разработка принципиальной схемы и блок-схемы алгоритма работы микропроцессорной системы.

    курсовая работа [467,6 K], добавлен 11.05.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.