Подключение устройства ввода к порту PS/2

Описание интерфейса PS/2, возникновение порта. Способ передачи информации, программируемая логическая интегральная схема. Основные понятия и принципы языка VerilogHDL: базовые типы источников сигнала, основные арифметические и логические функции.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык русский
Дата добавления 06.12.2011
Размер файла 291,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Министерство образования и науки Российской Федерации

Пояснительная записка по теме:

ПОДКЛЮЧЕНИЕ УТРОЙСТВА ВВОДА К ПОРТУ PS/2

Введение

Несмотря на то, что USB (Universal Serial Bus - «универсальная последовательная шина») клавиатур и манипуляторов типа мышь становится всё больше и больше, компьютеры со «старым» PS/2 интерфейсом всё ещё выпускаются. На системном блоке имеются два небольших шестиконтактных разъёма, один над другим, зелёный и фиолетовый. Это они. Их и используют для подключения манипулятора типа мышь и клавиатуры стандарта PS/2 (Personal System - серия персональных компьютеров компании IBM (International Business Machines)).

1. Общая часть

1.1 Описание интерфейса PS/2

PS/2 - разъем, применяемый для подключения клавиатуры и манипулятора типа мышь (рисунок 1). Впервые появился в 1987 году на компьютерах IBM PS/2 и впоследствии получил признание других производителей и широкое распространение в персональных компьютерах и серверах рабочих групп.

Сейчас все больше компьютерных манипуляторов типа мышь и клавиатур имеют разъем USB, некоторые современные системные платы (особенно миниатюрных форм-факторов) не имеют разъема PS/2 или имеют только один разъем. Современные ноутбуки не имеют разъемов PS/2 и для подключения к ним манипулятора типа мышь или внешней клавиатуры используется порт USB.

Некоторые системные платы (например, выпускаемые компанией Intel) могут правильно работать при «неправильном» подключении манипулятора типа мышь или клавиатуры, то есть при подключении клавиатуры в порт предназначенный для манипулятора типа мышь, и наоборот, системная плата сама распознает устройство и позволяет пользователю продолжить работу и с манипулятором типа мышь, и с клавиатурой без их переподключения. Большинство же системных плат при неправильном подключении (или при отключении во время работы), потребуют от пользователя перезагрузки и «правильного» подключения устройств. На некоторых системных платах, которые умеют распознавать подключаемые устройства, производители устанавливают только один разъём PS/2, окрашивая его в два соответствующих цвета. Таким образом пользователь может сам решить, что туда подключать: клавиатуру или манипулятор типа мышь. Устройства, оставшиеся не подключенными можно подключить через порт USB.

Существуют компьютерные манипуляторы типа мышь и клавиатуры (например, производимые фирмами Microsoft или Logitech) имеющие разъём для подключения USB и способные работать через переходник с портом PS/2. Такое подключение допустимо только для специально спроектированных USB - устройств.

Предшественником порта PS/2 являлся DIN-разъем применяемый изначально в аудиоаппаратуре, для клавиатур использовался пяти контактный DIN. Цвета жил порта PS/2 обычно такие: первая - оранжевый, вторая - голубой, третья - зеленый, четвёртая - белый. Аналогичные жилы для клавиатур с DIN - разъемом обычно такие: первая - красный, вторая - черный, третья - серый, четвёртая - желтый.

Рисунок 1 - Внешний вид разъёмов MiniDIN

1.2 Физический интерфейс

Физический интерфейс порта PS/2 (рисунок 2) включает четыре проводника: землю, напряжение питания (изначально использовалось напряжение +5В, все современные контроллеры и устройства используют +3,3В), линию данных (Data) и линию синхронизации (Clock). Технически две последние линии выполнены таким образом, что логическая единица на каждой из них присутствует только тогда, когда и контроллер интерфейса («хост» по терминологии, используемой в описании интерфейса), и устройство одновременно удерживают на данной линии логическую единицу. Если хост или устройство выставляет на линию логический нуль, на всей линии появляется нуль, даже если другое устройство продолжает удерживать единицу.

Рисунок 2 - Интерфейс разъёма PS/2

Слева разъём который устанавливается на шнур клавиатуры. Справа разъём устанавливаемый на системную плату компьютера.

Сигналы интерфейса:

Data (передаваемые данные);

Not Implemented (не используется);

Ground (Земля);

VCC (+5V) (Питание);

Clock (сигнал синхронизации передаваемых данных);

Not Implemented (не используется).

1.3 Возникновение порта PS/2

Клавиатура к первым ПК (Персональный компьютер (IBM PC и IBM/XT,1981 год)) подключалась по однонаправленному (передача данных только в одну сторону - от клавиатуры к компьютеру) интерфейсу, программное взаимодействие с которым осуществлялось с помощью двух портов микросхемы параллельного интерфейса 8255: порт А (он был доступен по адресу 60h) использовался как регистр принятых от клавиатуры данных, а порт В (61h) содержал биты, управляющие как клавиатурой, так и другими устройствами. Нажатие и отпускание клавиш кодировалось способом, ныне известным как таблица скэн-кодов номер один.

В компьютерах IBM PC/AT (первые из них появились в 1984 году) от применения микросхемы 8255 отказались. Для обеспечения взаимодействия с клавиатурой был применён микроконтроллер 8042. Изменился и сам физический интерфейс: он стал двунаправленным. Хотя клавиатура по-прежнему подключалась через пяти контактный DIN-коннектор, она была несовместима с клавиатурами IBM PC и IBM PC/XT (хотя в переходный период выпускалось большое число клавиатур, пригодных для использования с обоими типами интерфейсов; выбор нужного интерфейса осуществлялся специальным переключателем). В клавиатурах IBM PC/AT была использована новая таблица скэн-кодов, получившая второй номер.

В 1987 году IBM начала выпуск семейства ПК IBM PS/2. В этих компьютерах использовалась та же самая микросхема 8042, однако её функции были расширены: она обеспечивала подключение не только клавиатуры, но и манипулятора типа мышь, для которой был добавлен второй коннектор. Электрически интерфейс остался тем же самым, что на IBM PC/AT, однако коннекторы поменялись: вместо пяти контактных DIN (Deutsches Institut fur Normung) коннекторов стали использовать шести контактные MiniDIN разъёмы. С помощью переходника клавиатура IBM PC/AT могла быть подключена к PS/2, и наоборот. IBM разработала и новую таблицу скэн-кодов - номер три, но клавиатуры, пригодные для использования с разными типами ПК, обеспечивали программное переключение используемой таблицы.

Хотя сами компьютеры PS/2 не смогли завоевать рынок, простой и достаточно эффективный интерфейс PS/2 стал стандартным, быстро вытеснив близкий к нему но менее функциональный (из-за отсутствия поддержки манипулятора типа мышь) контроллер IBM PC/AT. Он используется и в настоящее время, хотя на новых системных платах уже может отсутствовать: всё чаще клавиатура и манипулятор типа мышь подключаются по интерфейсу USB. Что же касается набора скэн-кодов, то все современные клавиатуры работают с таблицей номер два.

Контроллер интерфейса PS/2 часто ошибочно именуют контроллером клавиатуры. Это абсолютно неверно. Контроллер клавиатуры находится внутри самой клавиатуры, отслеживает нажатия и отпускания клавиш и посылает по интерфейсу PS/2 соответствующие скэн-коды, а так же принимает по этому интерфейсу команды и выполняет их (например, переключает светодиоды или меняет скорость автоповтора нажатия клавиш). Контроллер PS/2 никакого отношения к клавиатуре или манипулятору типа мышь как такового не имеет и располагается на системной плате компьютера; к нему можно подключить абсолютно любое устройство, использующее интерфейс PS/2. Задача этого контроллера - принимать данные от устройства и позволять процессору их считывать, а так же принимать данные от процессора и передавать их устройству.

1.4 Способ передачи информации через порт PS/2

Между устройством и хостом информация передаётся пакетами по одиннадцать бит. Первый передаваемый бит является стартовым, он всегда равен нулю. Далее следует восемь битов данных, причём первым передаётся наименее значащий. После битов данных идёт контрольный бит (он дополняет общее количество единичных битов данных до нечётного), а за ним - стоп бит, всегда равный единице. Например, для передачи байта, имеющего шестнадцатеричное значение 15h, используется следующая последовательность битов: 01010100001.

Для интерфейса, соединяющего (физически или логически) два устройства, различают три возможных режима обмена:

- дуплексный режим позволяет по одному каналу связи одновременно передавать информацию в обоих направлениях. Он может быть ассиметричным, если пропускная способность в противоположных направлениях имеет существенно различающиеся значения, или симметричным;

- полудуплексный режим позволяет передавать информацию в противоположных направлениях поочередно, при этом интерфейс имеет средства переключения направления канала;

- симплексный (односторонний) режим предусматривает только одно направление передачи информации (во встречном направлении передаются только вспомогательные сигналы интерфейса).

1.5 Программируемая логическая интегральная схема

Программируемая логическая интегральная схема (ПЛИС, PLD) - электронный компонент, используемый для создания цифровых интегральных схем. В отличие от обычных цифровых микросхем, логика работы ПЛИС не определяется при изготовлении, а задаётся посредством программирования . Для программирования используются программаторы и отладочные среды, позволяющие задать желаемую структуру цифрового устройства в виде принципиальной электрической схемы или программы на специальных языках описания аппаратуры: Verilog, VHDL, AHDL и другие.

Ведущие производители ПЛИС:

- Atmel;

- Altera;

- Xilinx;

- Actel;

1.6 Основные понятия и принципы языка VerilogHDL

VerilogHDL (Verilog Hardware Description Language) - язык описания цифровых схем. Используется для проектирования логики микросхем FPGA (Field-Programmable Gate Array - программируемая пользователем вентильная матрица) или CPLD (Complex Programmable Logic Device - сложные программируемые логические устройства), а так же ASIC (Application-Specific Integrated Circuit - специализированная интегральная схема).

Базовые типы источников сигнала

Сигналы (signal) - это электрические импульсы, которые передаются по проводникам (wires) между логическими элементами схемы. Проводники переносят информацию не производя над ней никаких вычислений. В цифровой схеме сигналы важны для передачи двоичных данных.

Базовыми типами источников сигнала является:

- цепь или проводник, wire. Если есть арифметическое или логическое выражение, то можно ассоциировать результат выражения с именованным проводником и позже использовать его в других выражениях. Это немного похоже на переменные, только их (как провода в схеме) нельзя пересоединить на лету, нельзя поменять назначение. Значение проводника (wire) - это функция того, что присоединено к нему;

- регистр, reg. В языке Verilog скорее обозначает переменную, которая может хранить значение, чем аппаратный регистр. Тип reg используют при поведенческом (behavioral) и процедурном описании цифровой схемы. Если регистру постоянно присваивается значение комбинаторной (логической) функции, то он ведет себя точно как проводник (wire). Если же регистру присваивается значение в синхронной логике, например по фронту сигнала тактовой частоты, то ему, в конечном счете, будет соответствовать физический D-триггер или группа D-триггеров. D-триггер - это логический элемент способный запоминать один бит информации;

- Verilog позволяет группировать логику в блоки. Каждый блок логики называется “модулем” (module). Модули имеют входы и выходы, которые ведут себя как сигналы wire;

- числа, которые могут использоваться в различных арифметических и логических выражениях.

Арифметические и логические функции

Арифметические и логические функции включают в себя:

- сложение и вычитание;

- логический и арифметический сдвиг;

- битовые логические операции;

- булевые логические операции;

- операторы редукции;

- операторы условного выбора;

- операторы сравнения.

интерфейс порт интегральный программируемый

1.7 Алгоритм работы создания программы

Алгоритм работы представлен на рисунке 3.

нет

да

да

Рисунок 3 - Алгоритм создания программы

2. Специальная часть

2.1 Содержание проекта

Рабочая папка проекта (…\max2ps2 )содержит:

1) схему верхнего уровня в иерархии описания проекта - файл max2.bdf;

2) описание модуля clocks на языке VerilogHDL - файл clocks.v и символ модуля - файл clocks.bsf (приложение А);

3) описание модуля kbd на языке VerilogHDL - файл kbd.v и символ модуля - файл kbd.bsf (приложение Б);

4) описание модуля ps2 на языке VerilogHDL - файл ps2.v и символ модуля - файл ps2.bsf (приложение В);

5) файл с настройками проекта - max2.qsf.

2.2 Создание проекта

Для создания проекта необходимо проделать следующие операции:

1) запустить пакет QuarusII;

2) в меню File менеджера пакета, указать New Project Wizard;

3) на экране появится окно введения - Introduction (если оно не было отключено). Нажать кнопку Next;

4) в появившемся окне ввести следующие данные:

- рабочую папку проекта;

- имя проекта;

- имя модуля верхнего уровня.

5) нажать кнопку Next;

6) в окне Add Files [page 2 of 5] нажать кнопку Add All - добавить к проекту все файлы, расположенные в рабочей папке. Затем нажать кнопку Next

7) в окне Family & Device Setting[page3 of 5]:

а) в разделе Family указать CycloneII;

б) в разделе Show in “Available device list”.

- в графе Package указать FBGA;

- в графе Pin count указать 256;

- в графе Speed grade указать 8;

- в разделе Available devices указать СБИС (Сверхбольшая Интегральная Схема - до 1 миллиона элементов в кристалле) EP2C8F256C8.

8) нажать кнопку Next;

9) в окне EDA Tool Setting [page 4 of 5] оставить все без изменения и нажать кнопку Next;

10) появится окно Summary [page 5 of 5], в котором указаны установки, заданные для создаваемого проекта. Проверить их. Если все правильно, то нажать кнопку Finish. В противном случае, вернуться назад, нажав (возможно несколько раз) кнопку Back;

Проект создан.

2.3 Ввод описания проекта

Реализуемый проект иерархический, его описание содержит модуль верхнего уровня иерархии, созданный в схемном редакторе пакета, и три модуля нижнего уровня иерархии, описанные на языке VerilogHDL.

Описание всех модулей проекта (в графическом и текстовом виде) уже выполнено.

Модуль верхнего уровня иерархии представлен в файле max2.gdf. Для его открытия необходимо проделать следующее:

открыть окно иерархического отображения проекта (если оно закрыто): меню View =>Utility Windows=>Project Navigator

в окне иерархического отображения проекта - Project Navigator, переключиться на закладку Hierarchy и дважды щелкнуть левой клавишей мыши в поле max2.

Откроется окно схемного редактора пакета, в котором будет представлена схема модуля верхнего уровня в иерархии проекта.

Схема модуля верхнего уровня приведена на рисунке 4.

Рисунок 4 - Схема модуля верхнего уровня

Схема модуля верхнего уровня включает в себя следующие блоки:

1) блок Clocks, описание блока выполнено на языке VerilogHDL. Для его открытия необходимо - дважды щелкнуть левой клавишей манипулятора типа мышь по изображению символа блока в графическом редакторе пакета;

2) блок kbd - это обработчик событий кнопок и обработчик команд от компьютера типа зажечь светодиоды Caps/Num Lock. Описание блока выполнено на языке VerilogHDL. Для его открытия необходимо - дважды щелкнуть левой клавишей манипулятора типа мышь по изображению символа блока в графическом редакторе пакета;

3) блок ps2 - это собственно контроллер. Описание блока выполнено на языке VerilogHDL. Для его открытия - дважды щелкнуть левой клавишей манипулятора типа мышь по изображению символа блока в графическом редакторе пакета.

2.4 Проверка синтаксиса

На данном этапе необходимо осуществить проверку и, если потребуется, исправить синтаксиса созданных описаний (текстовых и/или графических).

Для этого в меню Processing выполнить команду Start=>Start Analysis and Elaboration.

После завершения проверки пакет выдаст сообщение Analysisand Elaboration was successful (возможно появится предупреждения). Нажать кнопку ОК.

2.5 Компиляция проекта

Для осуществления процедуры компиляции необходимо проделать следующие операции:

1) с помощью команды Processing =>Start Compilation осуществляется полная компиляция проекта.

В процессе полной компиляции проекта производится:

- проверка синтаксиса;

- синтез с оптимизацией занимаемой площади и быстродействия проекта;

- трассировка и СБИС с оптимизацией занимаемой площади и быстродействия проекта;

- получение файла для конфигурирования СБИС - pof (sof) файл;

- получение модели с временными параметрами реализованной СБИС;

- временной анализ;

- формирования файла с детальным отчетом о всех этапах компиляции проекта.

2) при успешном завершении процедуры компиляции появится сообщение Full Compilation was successful (возможно будет несколько предупреждений). Нажать кнопку ОК.

Заключение

Созданный проект позволяет провести тестирование работоспособности устройства ввода имеющего интерфейс подключения PS/2. Тест устройства осуществляется на плате DiLaB_rev4 + PB-CII (Cyclone2). Результат тестирования отображается при помощи светодиодных индикаторов.

Список литературы

1. Максфилд К. «Проектирование на ПЛИС. Курс молодого бойца» - М.: Издательский дом «Додэка - 21», 2007. - 408 с.

2. Сергиенко А.М. «VHDL для проектирования вычислительных устройств» - К ЧП «Корнейчук», ООО «ТИД ДС», 2003. - 208 с.

3. Угрюмов Е.П. «Цифровая схемотехника 2-ое издание» - СПб,: БХВ - Петербург, 2004. - 528 с.

4. 1.http://www.marsohod.org/index.php/ourblog/11/56-ps2;

5. 2.http://www.marsohod.org/index.php/ourblog/11/57-ps2proto.

Приложение А

Описание модуля clocks - файл clocks.v и символ модуля - файл clocks.bsf

module clocks(

input wire clk, //input 5Mhz

output reg imp20kHz, //output freq 20kHz

output reg imp20Hz //output freq 20Hz

);

reg [8:0]counter1;

always @(posedge clk)

begin

if(counter1==350)

counter1 <= 0;

else

counter1 <= counter1 + 1'b1;

end

always @*

imp20kHz = (counter1==350);

reg [9:0]counter2;

always @(posedge clk)

begin

if(imp20kHz)

begin

if(counter2==1000)

counter2 <= 0;

else

counter2 <= counter2 + 1'b1;

end

end

always @*

imp20Hz = imp20kHz & (counter2==1000);

endmodule

Приложение Б

Описание модуля kbd - файл kbd.v и символ модуля - файл kbd.bsf

module kbd(

input wire rst,

input wire clk,

input wire imp20kHz,

input wire imp20Hz,

input wire [3:0]key,

input wire [7:0]cmd,

input wire cmd_wr,

input wire enable_kbd,

output reg [7:0]kbd_code,

output reg kbd_code_wr,

output reg [7:0]leds

);

reg [3:0]current_key;

reg need_send;

always @*

begin

kbd_code_wr = need_send & imp20kHz;

kbd_code = kbd_code16[7:0];

leds = {5'b00000,leds_};

end

reg [15:0]kbd_code16;

reg two_bytes;

reg [7:0]state;

reg [7:0]command;

always @(posedge clk)

if(cmd_wr)

command <= cmd;

reg [3:0]keyf;

always @(posedge clk)

begin

if(imp20kHz)

keyf <= key;

end

reg [2:0]leds_;

always @(posedge clk or posedge rst)

begin

if(rst)

begin

state <= 0;

kbd_code16 <= 0;

two_bytes <= 1'b0;

need_send <= 1'b0;

leds_ <= 0;

current_key <= 0;

end

else

begin

case(state)

0:

begin

if(cmd_wr)

begin

if(cmd==8'hFF)

begin

kbd_code16 <= 16'hAAFA;

two_bytes <= 1'b1;

end

else

begin

kbd_code16 <= 16'h00FA;

two_bytes <= 1'b0;

if(command==8'hED)

leds_ <= { 5'b00000, cmd[2:0] };

end

need_send <= 1'b0;

state <= 1;

end

else

begin

if(imp20Hz)

begin

if(current_key[0]==1'b0 && keyf[0])

begin

current_key[0] <= keyf[0];

kbd_code16 <= 16'h0016;

two_bytes <= 1'b0;

state <= 1;

end

else

if(current_key[1]==1'b0 && keyf[1])

begin

current_key[1] <= keyf[1];

kbd_code16 <= 16'h001E;

two_bytes <= 1'b0;

state <= 1;

end

else

if(current_key[2]==1'b0 && keyf[2])

begin

current_key[2] <= keyf[2];

kbd_code16 <= 16'h0026;

two_bytes <= 1'b0;

state <= 1;

end

else

if(current_key[3]==1'b0 && keyf[3])

begin

current_key[3] <= keyf[3];

kbd_code16 <= 16'h0025;

two_bytes <= 1'b0;

state <= 1;

end

else

if(current_key[0] && keyf[0]==1'b0)

begin

current_key[0] <= keyf[0];

kbd_code16 <= 16'h16F0;

two_bytes <= 1'b1;

state <= 1;

end

else

if(current_key[1] && keyf[1]==1'b0)

begin

current_key[1] <= keyf[1];

kbd_code16 <= 16'h1EF0;

two_bytes <= 1'b1;

state <= 1;

end

else

if(current_key[2] && keyf[2]==1'b0)

begin

current_key[2] <= keyf[2];

kbd_code16 <= 16'h26F0;

two_bytes <= 1'b1;

state <= 1;

end

else

if(current_key[3] && keyf[3]==1'b0)

begin

current_key[3] <= keyf[3];

kbd_code16 <= 16'h25F0;

two_bytes <= 1'b1;

state <= 1;

end

end

end

end

1:

begin

if(imp20kHz)

state <= 2;

end

2:

begin

/

if(imp20kHz & enable_kbd)

state <= 3;

end

3:

begin

need_send <= 1'b1;

if(imp20kHz)

state <= 4;

end

4:

begin

need_send <= 1'b0;

if(imp20kHz)

state <= 5;

end

5:

begin

if(two_bytes)

begin

kbd_code16 <= {8'h00,kbd_code16[15:8]};

two_bytes <= 1'b0;

state <= 1;

end

else

begin

state <= 0;

end

end

default:

begin

state <= 0;

end

endcase

end

end

endmodule

Приложение В

Описание модуля ps2 - файл ps2.v и символ модуля - файл ps2.bsf

module ps2(

input wire clk,

input wire imp20kHz,

input wire imp20Hz,

input wire [7:0]kbd_code,

input wire kbd_code_wr,

output reg [7:0]cmd,

output reg cmd_wr,

output reg busy,

inout wire ps2data,

inout wire ps2syn

);

reg my_syn;

reg ena_syn;

reg my_data;

reg ena_data;

assign ps2data = ena_data ? my_data : 1'bz;

assign ps2syn = ena_syn ? my_syn : 1'bz;

reg parity;

always @(posedge clk)

begin

ena_data = (state==3)|(state==4)|(state>7);

ena_syn = (state > 1);

parity = !(^kbd_code);

busy = (state != 0);

cmd_wr = ((state==4) & (prev_state==3));

cmd = recv_reg[7:0];

end

reg [3:0]state;

reg [3:0]prev_state;

reg [4:0]cnt;

reg [10:0]send_reg;

reg [9:0]recv_reg;

always @(posedge clk)

begin

prev_state <= state;

if(imp20kHz)

begin

case(state)

0:

begin

cnt <= 0;

my_data <= 1'b1;

my_syn <= 1'b1;

if(ps2syn==1'b0)

state <= 1;

else

if(kbd_code_wr)

begin

state <= 8;

send_reg <= { 1'b1, parity, kbd_code, 1'b0 };

end

end

1:

begin

if(ps2syn==1'b1)

begin

if(ps2data==1'b1)

state <= 0;

else

state <= 2;

end

end

2:

begin

my_syn <= my_syn ^ 1'b1;

cnt <= cnt + 1'b1;

if(cnt == 20)

begin

my_data <= 1'b0;

state <= 3;

end

if(!my_syn)

recv_reg <= {ps2data,recv_reg[9:1]};

end

3:

begin

my_syn <= 1'b1;

state <= 4;

end

4:

begin

my_data <= 1'b1;

state <= 0;

end

8:

begin

my_syn <= my_syn ^ 1'b1;

cnt <= cnt + 1'b1;

if(cnt==20)

state <= 9;

if(my_syn)

begin

send_reg <= { 1'b1, send_reg[10:1] };

my_data <= send_reg[0];

end

end

9:

begin

state <= 10;

my_syn <= 1'b1;

end

default:

state <= 0;

endcase

end

end

endmodule

Размещено на Allbest.ru


Подобные документы

  • Разнообразие выпускаемых устройств ввода. Основные устройствами ввода информации в компьютер: клавиатуры, мыши, трекболы, графические планшеты, сканеры и джойстики. Основные параметры клавиатур. Подключение мыши к компьютеру. Оптическая система сканера.

    курсовая работа [4,5 M], добавлен 17.03.2011

  • Понятие сигнала и данных. Кодирование информации, текстовых и графических данных. Представления цифровой информации. Логические схемы и основы алгебры логики. Комбинационные, последовательностные и арифметические устройства. Организация памяти в системе.

    шпаргалка [1,6 M], добавлен 16.12.2010

  • Микропроцессорные наборы - совокупность интегральных схем, реализующих сложные функции цифровой аппаратуры. Микропроцессор как универсальное устройство, реализующее логическую функцию. Программируемая логическая матрица комбинационной логики и с памятью.

    реферат [20,3 K], добавлен 20.08.2009

  • Базовая система ввода-вывода информации. Базовые функции интерфейса и настройки оборудования. Основные понятия и функционирование BIOS. Сведения о системной BIOS компьютера. Затенение ROM-памяти. Самотестирование процессора, модулей оперативной памяти.

    реферат [21,7 K], добавлен 12.12.2011

  • Устройства и основные типы устройств ввода и вывода информации: манипуляторы, сканеры, микрофоны, печатающие устройства, видеокамера, вебкамера, плата видеозахвата. Клавиатура, ее основные части; служебные, функциональные клавиши, цифровая клавиатура.

    реферат [487,5 K], добавлен 18.12.2009

  • Основные понятия и назначение языка программирования СИ. Скалярные типы данных. Арифметические, логические и битовые операции над переменными целочисленного, вещественного, символьного, перечислимого типов. Примеры программ, выполняющие операции над ними.

    презентация [269,9 K], добавлен 26.07.2013

  • Структурная схема компьютера. Основные характеристики процессора - устройства, предназначенного для обработки информации и управления процессом обработки. Способы хранения информации. Описание, назначение и принципы работы устройств ввода и вывода данных.

    презентация [862,1 K], добавлен 20.07.2011

  • Обработка информации компьютерами. Средства преобразования информации в цифровую форму и обратно. Основные устройства компьютера: системный блок, жесткий диск, материнская плата. Устройства ввода и вывода информации: клавиатура и манипулятор мышь.

    курсовая работа [18,4 K], добавлен 25.11.2010

  • Основные понятия алгебры логики. Логические основы работы ЭВМ. Вычислительные устройства как устройства обработки информации. Основные формы мышления. Обзор базовых логических операций. Теоремы Булевой алгебры. Пути минимизации логических функций.

    контрольная работа [62,8 K], добавлен 17.05.2016

  • Организация и назначение консольного ввода-вывода, необходимые для этого функции и их применение. Библиотеки, организующие функционирование потокового ввода-вывода, выполняемые операции. Арифметические операции и математические функции в среде С++.

    лабораторная работа [33,8 K], добавлен 15.07.2009

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.