Синтез счетчика импульсов

Классификация счётчиков электронных импульсов. Составление таблицы функционирования счетчика, карт Карно, функций управления входов для триггеров. Выбор типа логики, разработка принципиальной схемы и блока индикации, временная диаграмма работы счётчика.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид контрольная работа
Язык русский
Дата добавления 10.01.2015
Размер файла 130,9 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

11

Размещено на http://www.allbest.ru/

Курсовая работа по электронике:

“Синтез счетчика импульсов”

Содержание

Задание

Введение

1. Теоретическая часть

1.1 Классификация счётчиков электронных импульсов

1.2 Составление таблицы функционирования счетчика

1.3 Составление карт Карно функций управления входов J и K для каждого триггера

1.4 Составление минимизированных логических уравнений

2. Выбор элементной базы

2.1 Выбор типа логики

2.2 Разработка принципиальной схемы и блока индикации

3. Временная диаграмма работы счетчика

4. Разработка принципиальной схемы

Заключение

Литература

Задание

Спроектировать вычитающий двоично-десятичный счетчик импульсов, работающий в коде 4221 обеспечивающий состояние указанное в колонке № 5 методического указания, со следующими параметрами:

U1=6В

U0=0,5В

fmax=5МГц

Iпотр=70мА

С индикацией на светодиодах.

Введение

Счетчик - это цифровое устройство, преобразующее число импульсов, поступающих на его вход в двоичный код на выходе. Сигналами могут быть перепады потенциалов или импульсы.

Счетчики классифицируются по следующим признакам:

1. По назначению

· Суммирующие;

· Вычитающие;

· Реверсивные;

2. По виду межразрядных связей (по принципу действия)

· Последовательные;

· Параллельные;

· Последовательно-параллельные;

3. По реализации

· На триггерах;

· На регистрах;

· На кольцевых схемах;

1. Теоретическая часть

1.1 Классификация счётчиков электронных импульсов

Основными характеристиками счетчика являются:

модуль счета Kc - максимальное число импульсов, которое может быть подсчитано счетчиком. После поступления Kc импульсов счетчик должен вернуться в исходное состояние. Kc<=2m, где m-число триггеров.

Быстродействие счетчика. Разрешающая способность tP=1/FСЧЕТ - минимально допустимый интервал времени между двумя входными сигналами, при котором не происходит потери счета сигналов. Время установки счетчика tуст - интервал времени между моментом поступления входного сигнала и моментом завершения перехода счетчика в новое состояние.

По порядку изменения состояний счетчика различают счетчики и естественным и произвольным порядком счета.

По модулю счета различают двоичные Kc=2m и недвоичные Kc=2m счетчики. Последние могут использоваться как делители частоты с коэффициентом деления, равным Kc.

По структурной реализации счетчики делятся на параллельные, последовательные и последовательно-параллельные, которые различаются способами подачи счетных сигналов на входы и способами связи между разрядами.

Счетчики с естественным порядком счета подразделяются на: суммирующие, вычитающие, реверсивные.

Рассмотрим каждый из этих счетчиков более подробно.

Рис. 1 Последовательный суммирующий 3-х разрядный счетчик

Триггеры данного счетчика срабатывают по заднему фронту счетного импульса. Вход старшего разряда счетчика связан с прямым выходом (Q) младшего соседнего разряда. Временная диаграмма работы такого счетчика приведена на рис.2. В начальный момент времени состояния всех триггеров равны лог.0, соответственно на их прямых выходах лог.0. Это достигается посредством кратковременного лог.0, поданного на входы асинхронной установки триггеров в лог.0 (R). Общее состояние счетчика можно охарактеризовать двоичным числом (000). Во время счёта на входах асинхронной установки триггеров в лог.1 поддерживается лог.1. После прихода заднего фронта первого импульса 0-разряд переключается в противоположное состояние - лог.1. На входе 1-разряда появляется передний фронт счетного импульса. Состояние счетчика (001). После прихода на вход счетчика заднего фронта второго импульса 0-разряд переключается в противоположное состояние - лог.0, на входе 1-разряда появляется задний фронт счетного импульса, который переключает 1-разряд в лог.1. Общее состояние счетчика - (010). Следующий задний фронт на входе 0-разряда установит его в лог.1 (011) и т.д. Таким образом, счетчик накапливает число входных импульсов, поступающих на его вход. При поступлении 8-ми импульсов на его вход счетчик возвращается в исходное состояние (000), значит коэффициент счета (КСЧ) данного счетчика равен 8.

Рис. 2 Временная диаграмма последовательного суммирующего счетчика

Последовательный вычитающий 3-х разрядный счетчик

Триггеры данного счетчика срабатывают по заднему фронту. Для реализации операции вычитания счетный вход старшего разряда подключается к инверсному выходу соседнего младшего разряда. Предварительно триггеры устанавливают в состояние лог.1 (111). Работу данного счетчика показывает временная диаграмма на рис. 4.

Рис. 3 Последовательный вычитающий счетчик

Рис. 4 Временная диаграмма последовательного вычитающего счетчика

Реверсивный счетчик

Для реализации реверсивного счетчика необходимо объединить функции суммирующего счетчика и функции вычитающего счетчика. Схема данного счетчика приведена на рис. 5. Для управления режимом счета служат сигналы «сумма» и «разность». Для режима суммирования «сумма»=лог.1, «0»-кратковременный лог.0; «разность»=лог.0, «1»-кратковременный лог.0. При этом элементы DD4.1 и DD4.3 разрешают подачу на тактовые входы триггеров DD1.2, DD2.1 через элементы DD5.1 и DD5.2 сигналов с прямых выходов триггеров DD1.1, DD1.2 соответственно. При этом элементы DD4.2 и DD4.4 закрыты, на их выходах присутствует лог.0, поэтому действие инверсных выходов никак не отражается на счетных входах триггеров DD1.2, DD2.1. Таким образом, реализуется операция суммирования. Для реализации операции вычитания на вход «сумма» подается лог.0, на вход «разность» лог.1. При этом элементы DD4.2, DD4.4 разрешают подачу на входы элементов DD5.1, DD5.2, а соответственно и на счетные входы триггеров DD1.2, DD2.1 сигналов с инверсных выходов триггеров DD1.1, DD1.2. При этом элементы DD4.1, DD4.3 закрыты и сигналы с прямых выходов триггеров DD1.1, DD1.2 никак не воздействуют на счетные входы триггеров DD1.2, DD2.1. Таким образом, реализуется операция вычитания.

Рис. 5 Последовательный реверсивный 3-х разрядный счетчик

Для реализации данных счетчиков также можно использовать триггеры, срабатывающие по переднему фронту счетных импульсов. Тогда при суммировании на счетный вход старшего разряда надо подавать сигнал с инверсного выхода соседнего младшего разряда, а при вычитании наоборот - соединять счетный вход с прямым выходом.

Недостаток последовательного счетчика - при увеличении разрядности пропорционально увеличивается время установки (tУСТ) данного счетчика. Достоинством является простота реализации.

Суммирующий счетчик параллельного действия

Принцип действия данного счетчика заключается в том, что входной сигнал, содержащий счетные импульсы, подается одновременно на все разряды данного счетчика. А установкой счетчика в состояние лог.0 или лог.1 управляет схема управления. Схема данного счетчика показана на рис.6.

Рис. 6 Суммирующий счетчик параллельного действия

· Разряды счетчика - триггеры DD1.1, DD1.2, DD2.1.

· Схема управления - элемент DD3.1.

· Достоинство данного счетчика - малое время установки, не зависящее от разрядности счетчика.

· Недостаток - сложность схемы при повышении разрядности счетчика.

1.2 Составление таблицы функционирования счетчика

счётчик импульс принципиальный триггер

Таблица функционирования отражает двоичный код всех предыдущих и последующих состояний триггеров в момент времени до (Qn) и после (Qn+1) прихода очередного входного сигнала.

N

Q3n

Q2n

Q1n

Q0n

Q3n+1

Q2n+1

Q1n+1

Q0n+1

FQ3

FQ2

FQ1

FQ0

0

1

1

1

1

1

1

1

0

1

1

1

Ў

1

1

1

1

0

1

0

1

1

1

Ў

1

^

2

1

0

1

1

1

0

1

0

1

0

1

Ў

3

1

0

1

0

0

1

1

1

Ў

^

1

^

4

0

1

1

1

0

1

1

0

0

1

1

Ў

5

0

1

1

0

0

0

1

1

0

Ў

1

^

6

0

0

1

1

0

0

1

0

0

0

1

Ў

7

0

0

1

0

0

0

0

1

0

0

Ў

^

8

0

0

0

1

0

0

0

0

0

0

0

Ў

9

0

0

0

0

1

1

1

1

^

^

^

^

1.3 Составление карт Карно функций управления входов J и K для каждого триггера

Исходя из значений функций переходов, строим карты функций переходов F3, F2, F1, F0 соответственно для триггеров Т3,Т2,Т1,Т0.

FQ3

Q1

FQ2

Q1

FQ1

Q1

FQ0

Q1

^

0

0

0

^

0

0

0

^

0

1

Ў

^

Ў

Ў

^

Q2

-

-

0

0

Q2

-

-

1

Ў

Q2

-

-

1

1

Q2

-

-

Ў

^

-

-

1

1

Q3

-

-

1

Ў

Q3

-

-

1

1

Q3

-

-

Ў

^

Q3

-

-

1

Ў

-

-

0

^

-

-

1

1

-

-

Ў

^

Q0

Q0

Q0

Q0

J3

Q1

J2

Q1

J1

Q1

J0

Q1

1

0

0

0

1

0

0

0

1

0

Х

Х

1

Х

Х

1

Q2

-

-

0

0

Q2

-

-

Х

Х

Q2

-

-

Х

Х

Q2

-

-

Х

1

-

-

Х

Х

Q3

-

-

Х

Х

Q3

-

-

Х

Х

Q3

-

-

Х

1

Q3

-

-

Х

Х

-

-

0

1

-

-

Х

Х

-

-

Х

1

Q0

Q0

Q0

Q0

K3

Q1

K2

Q1

K1

Q1

K0

Q1

Х

Х

Х

Х

Х

Х

Х

Х

Х

Х

0

1

Х

1

1

Х

Q2

-

-

Х

Х

Q2

-

-

0

1

Q2

-

-

0

0

Q2

-

-

1

Х

-

-

0

0

Q3

-

-

0

1

Q3

-

-

0

0

Q3

-

-

1

Х

Q3

-

-

0

1

-

-

Х

Х

-

-

0

0

-

-

1

Х

Q0

Q0

Q0

Q0

1.4 Составление минимизированных логических уравнений.

С помощью карт Карно получаем минимизированные логические уравнения для каждого из входов каждого триггера.

Для упрощения проектирования счетчика я заменила полученные логические уравнения, по правилу Де - Моргана, которое выглядит следующим образом:

Применим к логическому уравнению

2. Выбор элементной базы

2.1 Выбор типа логики

Следующим шагом в синтезе счетчика является выбор типа логики и разработка принципиальной схемы исходя из сделанного выбора логики.

Выбор типа логики осуществляют, соблюдая требования, предъявляемые к счетчику. И отдельно к интегральной микросхеме. В свою очередь электрические параметры микросхемы установлены рядом Государственных стандартов Российской Федерации: ГОСТ 19480-74; ГОСТ 18683-73; ГОСТ 19799-74; ГОСТ 22565-77.

1. U0 = 0.5 (В)

2. U1 = 6 (В)

3. I потр = 70 (мА) - для блока счёта.

4. f max = 5 (МГц)

Поставленным условиям удовлетворяет серия К531 из логики ТТЛШ. Её я и взяла за основу.

2.2 Разработка принципиальной схемы и блока индикации

Из выбранной серии я взяла:

· 1 микросхему "4 элемента 2И-НЕ" - К5315ЛА3;

· " 2 микросхемы "2 J-K-триггера cо сбросом" - К531ТВ11;

· " 2 микросхемы "3 элемента 3И" - К531ЛА4.

· " 1микросхема "2 логических элемента 4И-НЕ" - К531ЛА16П

Кроме того взяты:

· 2 кнопки - КМ1-1 ;

· 4 резистора номиналом 1кОм;

· 4 резистора номиналом 260 Ом;

· 4 светодиода - L-3012GD.

3. Временная диаграмма работы счётчика

Временные диаграммы строятся с учётом функционирования счётчика. После включения счётчика все триггеры устанавливаются в состояние логической единицы. Вывести счётчик из данного состояния можно путём подачи кратковременного логического нуля на вход RESET всех триггеров. При подаче импульсов счётчик функционирует согласно коду 4-2-2-1. Ниже приведена диаграмм для разрабатываемого счётчика.

4. Разработка принципиальной схемы

Принципиальная схема должна отражать принцип действия устройства, все компоненты входящие в его состав и связи между ними. Построение принципиальной схемы производится с учётом параметров выбраных элементов.

Триггеры DD2.1,DD2.2,DD4.1,DD4.2 управляют информационными выходами Q0,Q1,Q2,Q3 соответственно.

Элемент «DD3.1» выполняет логическую функцию «И» между элементами ?Q0, ?Q2 и ?Q3.

Элемент «DD3.2» выполняет логическую функцию «И» между выходами «DD4.1» и «DD4.2»

Элемент «DD3.3» выполняет логическую функцию «И» между элементами ?Q0, ?Q2 и Q3

Элемент «DD4.1» выполняет логическую функцию «И-НЕ» между элементами ?Q0 и ?Q1.

Элемент «DD4.2» выполняет логическую функцию «И-НЕ» между элементами ?Q0, Q1 и Q3

Элемент «DD5.1» выполняет логическую функцию «И» между элементами ?Q0 и ?Q1.

Переключатель «S1» обеспечивает подачу импульсов на выводы синхронизации всех триггеров.

Переключатель «S2» сбрасывает счётчик в исходное состояние.

Принципиальная схема счётчика: - КП.4221.ПСС

Заключение

Согласно заданию я рассчитала и спроектировала двоично-десятичный вычитающий счетчик, работающий в коде 4221, с питанием от сети 220в 50 Гц, с индикацией на светодиодах.

Проверила работоспособность спроектированного счетчика на лабораторных стендах.

Во время работы над курсовым проектом я приобрела новые знания по проектированию и разработке цифровых устройств, разработке печатных плат, а также укрепила теоретические знания, приобретенные мной при прослушивании курса лекций по электронике.

Литература

В.П. Бакалов, А.А. Игнатов, Б.И. Крук. Основы теории электрических цепей и электроники: Учебник для высших учебных заведений. - М.: Радио и связь, 2009 - 525 с.

С.И. Баскаков. Радиотехнические цепи и сигналы: М.: Высшая школа, 2008 - 448 с.

Б.И. Крук, О.Б. Журавлёва, М.И. Сметанина. Методические указания к курсовой работе. СибГАТИ. - Новосибирск 2010

Размещено на Allbest.ru


Подобные документы

  • Суммирующий, вычитающий и реверсивный последовательный, параллельный суммирующий счетчики. Составление структурной и функциональной схемы счетчика. Минимизация функций управления, составление таблицы функционирования и определение функций переходов.

    курсовая работа [122,4 K], добавлен 14.03.2010

  • Предназначение цифровой электронной техники и ее развитие. Принцип действия и классификация счётчиков, разработка принципиальной схемы. Составление структурной и функциональной схемы счётчика. Характеристика простейших одноразрядных счетчиков импульсов.

    курсовая работа [409,9 K], добавлен 26.05.2010

  • Составление таблицы переключений и функций переходов, составление карт Карно для функций выходов преобразователя кода. Выбор элементов для реализации счетчика, расчет максимальной задержки прохождения сигнала и допустимой частоты следования импульсов.

    курсовая работа [196,7 K], добавлен 08.03.2011

  • Разработка функциональной и принципиальной схем управляющего устройства в виде цифрового автомата. Синтез синхронного счётчика. Минимизация функций входов для триггеров с помощью карт Карно. Синтез дешифратора и тактового генератора, функции выхода.

    курсовая работа [1,5 M], добавлен 23.01.2011

  • Эквивалентное преобразование электрических схем. Расчёт транзисторных схем. Факторы схемотехнической реализации счетчика. Проектирование JK-, T-триггеров и четырехразрядного счётчика. Исследование схемы счетчика на сложение с последовательным переносом.

    контрольная работа [1,5 M], добавлен 13.06.2012

  • Интегральная микроэлектроника как элементная база дискретной техники. Применение биполярных и полевых транзисторов в качестве активных элементов цифровых микросхем. Выбор и обоснование структурной схемы суммирующего двоично-десятичного счетчика импульсов.

    курсовая работа [702,9 K], добавлен 04.06.2010

  • Анализ и синтез асинхронного счетчика с КСЧ=11 в коде 6-3-2-1 и с типом триггеров JJJJ, его назначение, разновидности и технические характеристики. Пример работы суммирующего счетчика. Синтез JK–триггера (устройства для записи и хранения информации).

    курсовая работа [2,4 M], добавлен 25.07.2010

  • Расчет отдельных узлов и основных элементов схемы. Выбор счетчика и эталонного генератора импульсов, синхронизирующего устройства и его элементов. Разработка схемы индикации напряжения управления на основе семисигментных светодиодных индикаторов.

    курсовая работа [1,4 M], добавлен 18.07.2013

  • Разработка дискретного устройства, состоящего из генератора прямоугольных импульсов высокой частоты (100 кГц), счетчика импульсов, дешифратора, мультиплексора и регистра сдвига. Синтез синхронного конечного автомата, у которого используются D-триггеры.

    курсовая работа [198,8 K], добавлен 08.02.2013

  • Структура и диаграмма работы генератора чисел как "черного ящика". Методы и способы построения ГЧ на базе счетчика Джонсона, сдвигового регистра, триггеров, двоичного и кольцевого счетчика. Выбор оптимального ГЧ в соответствии с критерием оптимизации.

    курсовая работа [4,3 M], добавлен 13.11.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.