Разработка платы "Устройство управления и индикации РЛС" и программного комплекса для прошивки входящей в ее состав микросхемы ПЗУ EPC2 фирмы Altera

Общая характеристика узла системы ТУ-ТС, отвечающего за сбор и обработку сигналов, поступающих с отдельных узлов наземных радиолокационных станций. Описание принципа работы, разработка аппаратной и программной части. Расчет параметров устройства.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 13.09.2014
Размер файла 1,6 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

ДУ1

Вх./Вых24.

ДУ2

Вх./Вых.43

Предв. вкл. ПК (лог.0)

Вх./Вых.32

Предв. вкл. ВК (лог.0)

Вх./Вых.44

Высокое ПРД ПК вкл. (лог.0)

Вх./Вых.40

Высокое ПРД ВК вкл. (+27В)

Резерв

Готов резерв ПК (лог.0)

Вх./Вых.28

Готов резерв ВК (+27В)

Вх./Вых.0

Основной ПК (лог.0)

Вх./Вых.25

Основной ВК (лог.0)

Вх./Вых.5

Ручной переход

Вх./Вых.18

Сброс аварий (лог.0)

Вх./Вых.22

Отказ (рез.) ПК (лог.0)

Вх./Вых.38

Повреж. (рез.) ПК (лог.0)

Вх./Вых.56

Отказ (рез.) ВК (лог.0)

Вх./Вых.50

Повреж. (рез.) ВК (лог.0)

Вх./Вых.7

Вкл РР (лог.0)

Вх./Вых.30

Таблица 3.7 - Выходные сигналы КДП

Наименование сигнала

Наименование

контакта на Х1

Исправн. ПК КДП (лог.0)

Вх./Вых.13

Исправн. ВК КДП (лог.0)

Вх./Вых.4

Отказ ПК КДП (лог.0)

Вх./Вых.21

Отказ ВК КДП (лог.0)

Вх./Вых.19

Поврежд. ПК КДП (лог.0)

Вх./Вых.20

Поврежд. ВК КДП (лог.0)

Вх./Вых.23

Таблица 3.8 - Выходные сигналы ПРЛС

Наименование сигнала

Наименование

контакта на Х1

Испр. ПРД ПК ПРЛС (лог.0)

Вх./Вых48

Испр. ПРД ВК ПРЛС (лог.0)

Вх./Вых.29

Испр. ПРМ ПК ПРЛС (лог.0)

Вх./Вых.51

Испр. ПРМ ВК ПРЛС (лог.0)

Вх./Вых.54

Испр. УОВС ПРЛС (лог.0)

Вх./Вых.49

Испр. синхр. ПРЛС (лог.0)

Вх./Вых.27

Отказ ПРД ПК ПРЛС (лог.0)

Вх./Вых.47

Отказ ПРД ВК ПРЛС (лог.0)

Вх./Вых.26

Отказ ПРМ ПК ПРЛС (лог.0)

Вх./Вых.34

Отказ ПРМ ВК ПРЛС (лог.0)

Вх./Вых.35

Отказ УОВС ПРЛС (лог.0)

Вх./Вых.37

Отказ Синхр. ПРЛС (лог.0)

Вх./Вых.36

Повреждение ПРЛС (лог.0)

Вх./Вых.14

Таблица 3.9 - Выходные сигналы аварийного переключения комплектов

Наименование сигнала

Наименование

контакта на Х1

Аварийное откл. ПК (лог.0)

Вх./Вых.33

Аварийное откл. ВК (лог.0)

Вх./Вых.53

Аварийное вкл. ПК (лог.0)

Вх./Вых.39

Аварийное вкл. ВК (лог.0)

Вх./Вых.6

Входные сигналы контроля ПК и ВК запоминаются в ОЗУ после снятия соответствующих сигналов "Предв. вкл. ПК" и "Предв. вкл. ПК". Посигналу "Сброс аварий" ОЗУ переводится в неаварийное состояние. Далее сигналы контроля транслируются на схему формирования сигналов индикации, которая формирует сигналы индикации на ПРЛС, КДП и схему аварийного переключения комплектов.

Сигналы НОРМА КДП, НОРМА ПРЛС формируются из путем соответствующего сложения сигналов контроля ПК и ВК. Сигналы ОТКАЗ ПРЛС, ОТКАЗ КДП, ПОВРЕЖДЕНИЕ ПРЛС, ПОВРЕЖДЕНИЕ КДП формируются путем соответствующего сложения сигналов контроля с выходов ОЗУ.

Сигнал "Вкл. РР" запускает таймер на 5 секунд и тем самым трансляция отказов ПРЛС переключается на трансляцию соответствующих повреждений. По истечении времени восстанавливается трансляция отказов.

Схема аварийного переключения комплектов формирует сигналы аварийного включения резервных комплектов ПК и ВК и отключения основных при возникновении аварийного состояния на основном комплекте. Сигналы аварийного переключения формируются при условии наличия сигналов "ДУ1" и "ДУ2". Сигналы аварийного отключения основного комплекта формируются при условии наличия сигналов "Готов" и отсутствии сигналов ручного перехода.

4. Технологический раздел

4.1 Постановка задачи

Поскольку реализация дипломного проекта подразумевает разработку программного продукта с привязкой к аппаратной части, то в качестве задания на данный раздел было преложено разработать инструкцию по прошивке микросхемы ПЗУ EPC2, входящей в состав платы "Устройство управления и индикации РЛС", фирмы ALTERA.

4.2 Текст документа

Основание для разработки

Основанием для разработки является задание заместителя начальника НТЦ Симонова П.А. на разработку инструкции по программированию микросхемы ПЗУ EPC2, входящей в состав платы "Устройство управления и индикации РЛС", фирмы ALTERA.

Назначение разработки

Данная инструкция определяет порядок программирования платы "Устройство управления и сигнализации РЛС" ТБИС.468383.004, а именно, входящую в ее состав микросхему ПЗУ типа EPC2 фирмы ALTERA. Информационное содержание микросхемы ПЗУ определяется файлом прошивки usc.pof.

Перечень применяемого оборудования

Перечень применяемого для программирования ПЗУ оборудования и программного обеспечения представлен в таблице 4.1.

Таблица 4.1

Наименование

Параметры

Количество

1.Персональный компьютер IBM-PC

- Процессор - не хуже 486

- ОЗУ - не меньше 16 МБ

- Свободное место на диске - не меньше 400 МБ

- Параллельный порт

1

2.Кабель "ByteBlasterMV"

ТБИС.468353.003-01

1

3.Установленная программа MaxPlusII

версия 10.0 (не ниже)

1

4.Источники питания

Б5-71

Программирование

Программирование микросхем ПЗУ EPC2 производится непосредственно на плате из программной оболочки Max+PlusII фирмы ALTERA. К программированию допускается плата, прошедшая визуальную проверку монтажа и проверку на отсутствие короткого замыкания. Плата с установленной микросхемой ПЗУ должна быть подключена к источнику питания в соответствии с рисунком 4.1.

Подключить плату (JTAG разъем Х2) при помощи кабеля "ByteBlasterMV" к параллельному порту компьютера. Подключение кабеля производить при выключенном компьютере и выключенных источниках питания.

Для работы с файлами прошивки рекомендуется создать на "винчестере" рабочую директорию (например - С:\ALTERA_pof\).

Переписать с архивной дискеты необходимый файл прошивки usc.pof в рабочую директорию на "винчестере".

Включить источники питания и компьютер.

Загрузить программу Max+PlusII (C:\maxplus2\max2win.exe) - см. рисунок 4.1.

Рисунок 4.1- Рабочее окно программы Max+PlusII

Выбрать значок программатора (см. рисунок 4.2 и 4.3).

После выбора программатора в строке команд появится "меню" - "Options" (см. рисунок 4.3). В этом "меню" необходимо выбрать команду - "Hardware setup…" и в появившемся окне настройки (см. рисунок 4.4) в выпадающем меню "Hardware Type:" установить тип кабеля "ByteBlaster(MV)" (нажать кнопку "ОК").

Рисунок 4.2

Рисунок 4.3

Выбрать кнопку - загрузка программирующего файла (см. рис. 4.5 и 4.6);

Рисунок 4.5

Рисунок 4.6

В диалоговом окне укажите путь к файлу и выберите его (выделить файл и нажать кнопку "ОК"). Для облегчения поиска можно включить "флажок" отображения только ххх.pof файлов (см. рисунок 4.6).

Если до этого производились работы с другим файлом, то программа запросит подтверждение на изменение текущего проекта (см. рис. 4.7). Необходимо подтвердить изменение проекта нажатием кнопки "ОК".

Рисунок 4.7

После этого программатор готов к работе (см. рисунок 4.8). Нажатие кнопки "Program" активизирует процесс программирования (см. рисунок 4.9), который будет автоматически выполнен в три этапа: "Examine" - тестирование микросхемы; "Program" - программирование;

"Verify" - верификация оригинала и прошивки.

После успешного программирования будет выдано сообщение (см. рисунок 4.10).

Рисунок 4.8

Рисунок 4.9

Рисунок 4.10

При положительном результате программирования плата должна пройти полную проверку соответствующим алгоритмам функционирования. В случае ошибки, следует повторить попытку программирования. Если процесс программирования не идет, то следует:

- проверить наличие питающего напряжения (+5 В) на соответствующем контакте разъема Х2;

- провести детальный анализ монтажа и печатных проводников от разъема Х2 до микросхемы ПЗУ;

- проверить исправность кабеля "ByteBlasterMV";

- выполнить демонтаж микросхемы и установку новой микросхемы ПЗУ.

5. Вопросы безопасности жизнедеятельности

В дипломном проекте разрабатывается электронное устройство "Устройство управления и индикации РЛС", поэтому в данном разделе необходимо рассмотреть вопросы, связанные с охраной труда, как на этапе производства, так и при эксплуатации.

5.1 Анализ условий эксплуатации проектируемой системы

Проектируемая система является стационарным устройством, которое размещается в контейнере аппаратной машины.

Для аппаратуры, размещаемой внутри контейнера:

- температура окружающего воздуха от 283 до 313 К (от +5С до +40С);

- относительная влажность окружающего воздуха до 80% при температуре не выше 298 К (+25С);

Аппаратная машина является пожароопасными помещением. Для исключения возможности возникновения пожара необходимо выполнять следующие правила:

1) следить за исправностью оборудования, надежностью контактов;

2) систематически производить чистку вентиляционных каналов от пыли и проверку системы вентиляции;

3) при пользовании системой терморегулирования строго соблюдать требования инструкции по эксплуатации;

4) иметь вблизи от изделий полный комплект исправных средств пожаротушения (огнетушители углекислотные, лопата, лом и т.д.);

5) не хранить в контейнере горючие и легковоспламеняющиеся вещества и посторонние предметы.

Для обеспечения защиты от поражения электрическим током, при прикосновении к металлическим нетоковедущим частям, которые могут оказаться под напряжением в результате повреждения изоляции, применяется зануление, которое обеспечивает быстрое автоматическое отключение поврежденной установки от сети или снижения напряжения на нетоковедущих частях, оказавшихся под напряжением.

5.2 Соответствие проектируемой системы требованиям безопасности

Проектируемая система как электротехническое устройство соответствует требованиям ГОСТ 12.2.007-75 ССБТ. Изделия электротехнические. Общие требования безопасности.

Проектируемая плата представляет собой узел, входящий в конструкцию другого изделия. Электрическая схема изделия исключает возможность его самопроизвольного включения и отключения. Конструкция изделия исключает возможность неправильного присоединения его сочленяемых токоведущих частей у потребителя (используется соответствующий разъем), что создает безопасные условия для обслуживающего персонала. Также в системе существуют зануление металлических нетоковедущих частей изделия, защитный кожух для предотвращения возможности случайного прикосновения к токоведущим частям, а также предупредительные надписи, в местах возможных прикосновений к токоведущим частям устройства.

По способу защиты человека от поражения электрическим током система относится к I классу, так как оснащена рабочей изоляцией и элементами для присоединения нулевого защитного проводника.

Пожарная безопасность обеспечена мерами пожарной профилактики и устройствами пожаротушения в соответствии с ГОСТ 12.1.004-91. Пожарная безопасность. Общие требования.

Электрическая схема изделия исключает возможность его самопроизвольного включения и отключения. Конструкция изделия исключает возможность неправильного присоединения сочленяемых токоведущих частей и обеспечивает удобство и безопасность при выполнении механосборочных работ и проведения обслуживания за счет применения маркировки разъемов.

Корпус устройства соединен с основными частями системы в единую конструкцию, закрывает опасную зону и снимается только при помощи инструмента. Ввод проводов в корпус осуществляется через изоляционные детали, и исключает замыкание проводников на корпус и между собой. Система соответствует требованиям эргономики и эстетики, и обеспечивает удобство и безопасность работы во всех режимах. Существует световая сигнализация аварийных режимов работы "ОТКАЗ", "НОРМА". Плата функционирует без участия человека.

Следовательно, электротехническое устройство соответствует общим требованиям безопасности при эксплуатации электротехнических устройств.

5.3 Анализ опасных и вредных производственных факторов

В процессе изготовления спроектированной системы выполняются такие операции как сборка печатных плат (пайка, нанесение защитных покрытий), регулировка и испытание. При проведении этих работ возникают опасные и вредные производственные факторы (ОВПФ).

Наиболее опасным из перечисленных факторов являются пары свинца, образующиеся при пайке. Чтобы снизить концентрацию свинца в воздухе на рабочем месте необходимо снабдить его местным вентиляционным отсосом. Для исключения загрязнения окружающей среды откачиваемым воздухом, содержащим пары свинца, необходимо использовать сменные регенерируемые фильтры, предотвращающие попадание вредных веществ за пределы предприятия в атмосферу.

Кроме того, при несоблюдении правил техники безопасности (ТБ) и технологического процесса, на некоторых операциях может возникнуть дополнительная опасность вредного воздействия:

1) При пайке и лужении возникает опасность теплового ожога и поражения электрическим током. Опасность поражения электрическим током устраняется применением паяльника ПНТ-36-40 с рабочим напряжением 36 вольт. В качестве защиты от химических ОВПФ необходимо использовать местную вентиляцию. Целесообразной в данном случае является полная автоматизация процесса.

2) Промывка паяных соединений спиртом и покрытие лаком, маркировка и сушка. Для устранения влияния вредных испарений используется вытяжной шкаф типа ШВ2-НЖ и сушильный шкаф типа ШВС-1 с вытяжной вентиляцией.

3) Операция разделки проводов и формирование жгута. На данной операции может использоваться электрообжигалка и вследствие этого появляется опасность теплового ожога, поражения электрическим током и загрязнения атмосферы. Меры защиты: использование щита для защиты рук от ожога, применение заземления источника питания и надежной изоляции электропроводов, вентиляция.

4) Влагозащита проводного монтажа и паяных соединений. ОВПФ - вредные пары лака (УР-23).Мероприятием по защите является использование шкафа ШВ 2 - НЖ.

5) Установка печатных узлов в корпус блока. На данном этапе, а так же на операциях сборки корпуса, возможно травмирование сборочным инструментом и принадлежностями. Для предотвращения этого необходимо использовать исправный инструмент, приспособления и индивидуальные средства защиты (перчатки, спецодежду и т.п.).

6) Проверка правильности функционирования и регулировка блока. ОВПФ - опасность поражения электрическим током. Для предотвращения опасности поражения электрическим током необходимо применять низковольтные источники питания и приборы с гальванической развязкой, а так же заземление и электропровода с надежной изоляцией.

При регулировке блока и проверке правильности функционирования отдельных узлов используется микропроцессорная система на базе ПЭВМ со специализированным программным обеспечением. Вследствие этого возможно влияние на оператора опасных и вредных факторов, связанных с работой на ПЭВМ. ОВПФ, которые могут воздействовать на оператора ПЭВМ, связаны, во-первых, с техническими характеристиками и работой ЭВМ (шум, электромагнитное излучение, разрешающая способность монитора и др.), а также с видом используемой программы. Во-вторых, они связаны с неблагоприятными условиями среды, в которой работает оператор (неправильное освещение, запыленность воздуха и др.).

Операции сборки корпуса, установки печатных плат в корпус блока, визуального контроля правильности установки печатных узлов и окончательной сборки блока, при соблюдении правил ТБ и технологического процесса (в соответствии с ГОСТ 12.3.002-75 "Процессы производственные"), не должны представлять опасности для персонала и окружающей среды.

Поскольку устройство обладает небольшими массогабаритными показателями и на данном участке производства осуществляется лишь сборка, изготовление и установка печатных узлов, то физические ОВПФ связанные с массой и габаритами можно исключить.

Для уменьшения влияния психофизиологических ОВПФ, необходима правильная комплексная организация режимов работы и отдыха, периодический медицинский контроль, правильная организация рабочих мест и автоматизация опасных и вредных технологических процессов.

В процессе эксплуатации радиоэлектронная аппаратура подвергается климатическим воздействиям, под влиянием которых происходит ухудшение электрических и механических параметров РЭА, а также может наступить полное разрушение.

При производстве РЭА проводятся климатические и механические испытания, которые должны быть организованы так, чтобы работающим обеспечивались нормальные условия труда.

Климатические испытания проводятся в специально оборудованных камерах или помещениях, доступ в которые при установленном климатическом режиме исключается с помощью блокировочных устройств. Камеры и помещения с климатической средой герметичны с целью исключения попадания элементов климатической среды (влаги, пыли, газов и т.д.) в воздух помещений, где постоянно пребывают работающие. Для периодической дезинфекции воздушной среды помещения оборудуются общеобменной вентиляцией и противобактерицидными лампами. Работающие обеспечиваются средствами индивидуальной защиты от воздействия высоких и низких температур.

6. Организационно-экономический раздел

Целью данного раздела является планирование работ по созданию дипломного проекта. Для достижения этой цели применяется метод сетевого планирования и управления, используемый при оптимизации управления сложными комплексами работ. В рамках методов сетевого планирования и управления строится сетевая модель проекта - графическое описание плана работ, показывающее взаимосвязь между всеми работами, входящими в проект.

Цель построения сетевого графика - получение информации о плановых сроках выполнения работ.

Кроме того, в данном разделе делается оценка экономической эффективности выбранного пути решения задачи проекта, на основе расчета технико-экономических показателей.

6.1 Технико-экономическое обоснование

Задачей дипломного проектирования является разработка платы "Устройство управления и индикации РЛС" входящего в состав блока ТУ-ТС изделия АОРЛ-1С.

Высокая точность и надежность, увеличение объема информации ведет к усложнению и удорожанию аппаратуры управления полетами. Следствием создавшейся ситуации является поиск новых технических решений, которые позволят обеспечить требуемые точность и надежность минимумом радиолокационного оборудования.

Необходимость создания новой системы была продиктована необходимостью уменьшения габаритов, повышения безопасности посадки самолетов.

Новая плата "Устройство управления и индикации РЛС" позволяет сократить количество применяемых плат, за счет применения новой элементной базы и новых схемных решений. Вследствие этого значительно уменьшаются габариты новой системы по сравнению со старой, повышается надежность всей аппаратуры.

С экономической точки зрения необходимость разработки новой платы очевидна. За счет снижения количества используемых плат, применения современной элементной базы и новых технологий происходит снижение трудозатрат при изготовлении радиолокатора, повышает его надежность и увеличивает срок службы.

6.2 Составление индивидуального перечня работ и построение сетевого графика

Заданный комплекс работ упорядочивается в их логической последовательности с выделением отдельных групп работ, которые могут и должны выполняться параллельно.

Ожидаемая продолжительность работы tij в сетевом графике рассчитывается по принятой двухоценочной методике, исходя из минимальной tijmin и максимальной tijmax оценок продолжительности, задаваемых ответственным исполнителем каждой работы. При этом предполагается, что минимальная оценка соответствует наиболее благоприятным условиям работы, а максимальная - наиболее неблагоприятным.

Ожидаемая продолжительность складывается из 0.6 минимальной и 0.4 максимальной продолжительностей:

(6.2.1)

Рассчитанные значения ожидаемой продолжительности работы сведены в таблицу индивидуального перечня работ (таблица 6.1).

Таблица 6.1 - Перечень и параметры работ сетевого графика

Код работы

Наименование работы

Исполнители, чел.

Продолжительность, дней

НС

ИТР

Лаб.

Мин.

Макс

Ожид

1

2

3

4

5

6

7

8

0-1

Получение и анализ технического задания

1

1

-

2

3

3

1-2

Сбор и систематизация научно-технической информации

-

1

1

5

15

9

1-3

Изучение условий эксплуатации

-

1

-

2

3

3

1-4

Анализ задания на дипломное проектирование

-

1

-

2

4

3

2-4

Изучение принципа работы РЛС

-

1

-

4

5

5

2-5

Изучение алгоритмов управления РЛС

-

1

-

4

8

6

2-6

Разработка структурной и функциональной схемы

-

1

-

7

12

9

3-4

Анализ известных технических решений, реализующих требуемые параметры изделия

-

1

-

2

6

4

3-5

Выдвижение и проработка предложений по модернизации устройства

1

1

-

4

12

8

3-6

Выбор и обоснование элементной базы

-

1

-

3

7

5

4-5

Разработка имитационной модели

-

1

-

4

7

6

5-6

Моделирование работы прототипа

-

1

1

4

12

8

6-7

Выбор элементарной базы

-

1

1

1

2

2

6-8

Разработка структурной схемы

-

1

-

7

12

9

1

2

3

4

5

6

7

8

7-9

Разработка схемы электрической принципиальной

1

1

-

7

14

10

8-9

Разработка печатной платы

-

1

-

4

6

5

9-10

Разработка программного обеспечения

-

1

-

9

18

13

9-11

Разработка руководства по прошивки микросхемы ПЗУ

-

1

1

4

7

6

10-11

Разработка раздела "Охрана труда"

-

1

-

3

5

4

10-12

Оформление проекта

-

1

1

14

20

17

11-12

Расчет экономической эффективности

1

1

1

5

12

8

12-13

Окончательный анализ результатов Принятие решений по разработке

1

1

-

4

9

6

6.3 Расчет параметров событий сетевого графика

Ранний срок свершения исходного (нулевого) события сетевого графика принимается равным нулю. Ранний срок свершения данного промежуточного события рассчитывается путём сравнения сумм, состоящих из раннего срока свершения события, непосредственно предшествующего данному и длительности работы. Так как данное событие не может свершиться, пока не закончится последняя из непосредственно предшествующих ему работ, очевидно, что в качестве раннего срока свершения события принимается максимальная из сравниваемых сумм. Рассчитанный таким способом ранний срок свершения завершающего события принимается в качестве его же позднего срока свершения. Это означает, что завершающее событие никаким резервом времени не располагает.

Поздний срок свершения данного - промежуточного события определяется при просмотре графика в обратном направлении. Для этого сопоставляются разности между поздним сроком свершения события, непосредственно следующего за данным, и продолжительности работы, соединяющей соответствующее событие с данным. Так как ни одна из непосредственно следующих за данным событием работ не может начаться, пока не свершится само данное событие, очевидно, его поздний срок свершения равен минимуму из подсчитанных разностей.

Резерв времени образуется у тех событий, для которых поздний срок свершения больше раннего, и он равен их разности. Если же эти сроки равны, событие резервом времени не располагает и, следовательно, лежит на критическом пути.

Результаты расчетов сведены в таблицу 6.2.

Таблица 6.2 - Параметры событий сетевого графика, дней.

№ события

Сроки свершения

Резерв времени

ранний

поздний

0

0

0

0

1

3

3

0

2

12

12

0

3

6

13

7

4

17

17

0

5

23

23

0

6

31

31

0

7

33

35

2

8

40

40

0

9

45

45

0

10

58

58

0

11

62

67

5

12

75

75

0

13

81

81

0

6.4 Расчет параметров работ сетевого графика

Ранний срок начала работы Tрнij совпадает с ранним сроком свершения ее начального события. Поздний срок начала работы Tпнij можно получить, если из позднего срока свершения ее конечного события вычесть ее ожидаемую продолжительность. Ранний срок окончания Tроij работы образуется прибавлением ее продолжительности к раннему сроку свершения ее начального события. Поздний срок окончания работы Tпоij совпадает с поздним сроком свершения ее конечного события. Для всех работ критического пути, как не имеющих резервов времени, ранний срок начала совпадает с поздним сроком начала, а ранний срок окончания - с поздним сроком окончания. Работы, не лежащие на критическом пути, обладают резервами времени. Полный резерв времени работы Rпij образуется вычитанием из позднего срока свершения ее конечного события раннего срока свершения ее начального события и ее ожидаемой продолжительности.

Частный резерв времени работы первого рода RIчij равен разности поздних сроков свершения ее конечного и начального событий за вычетом ее ожидаемой продолжительности.

Частный резерв времени работы второго рода RIIчij равен разности ранних сроков свершения ее конечного и начального событий за вычетом ее ожидаемой продолжительности.

Свободный (независимый) резерв времени работы Rсij образуется вычитанием из раннего срока свершения ее конечного события позднего срока свершения ее начального события и ее ожидаемой продолжительности. Свободный резерв времени может быть отрицательным.

Правильность расчета резервов времени работы можно проверить по следующим соотношениям:

а) сумма полного и свободного резерва работы равна сумме двух частных ее резервов;

б) поздний и ранний сроки начала работы, а также поздний и ранний сроки ее окончания всегда отличаются на величину ее полного резерва.

Для работ, лежащих на критическом пути, никаких резервов времени нет и, следовательно, коэффициент напряженности kнij таких работ равен единице. Если работа не лежит на критическом пути, она располагает резервами времени и ее коэффициент напряженности меньше единицы. Его величина подсчитывается как отношение суммы продолжительностей отрезков максимального пути, проходящего через данную работу, не совпадающих с критическим путем tнемаксij, к сумме продолжительностей отрезков критического пути, не совпадающих с максимальным путем, проходящим через эту работу tнекрij. Рассчитанные значения сведены в таблице 6.3 и в СГ на рисунке 6.1.

Таблица 6.3 - Параметры работ сетевого графика

Код работы

Ожидаемая продолжительность, дн.

Сроки начала, дн.

Сроки окончания, дн.

Резервы времени, дн.

Коэффициент напряженности

ранний

поздний

ранний

поздний

полный

частный 1-го рода

частный 2-го рода

свободный

1

2

3

4

5

6

7

8

9

10

11

0 - 1

3

0

0

3

3

0

0

0

0

1

1 - 2

9

3

3

12

12

0

0

0

0

1

1 - 3

3

3

10

6

13

7

0

0

-7

0,5

1 - 4

3

3

14

6

17

11

0

0

-11

0,22

2 - 4

5

12

12

17

17

0

0

0

0

1

2 - 5

6

12

17

18

24

5

0

0

-5

0,75

2 - 6

9

12

22

21

31

10

0

0

-10

0,65

3 - 4

4

6

13

10

17

7

0

0

-7

0,5

3 - 5

8

6

15

14

23

9

0

0

-9

0,55

3 - 6

5

6

26

11

31

20

0

0

-20

0,29

4 - 5

6

17

17

23

23

0

0

0

0

1

5 - 6

8

23

23

31

31

0

0

0

0

1

6 - 7

2

31

33

33

35

2

0

0

-2

0,86

6 - 8

9

31

31

40

40

0

0

0

0

1

7 - 9

10

33

35

43

45

2

0

0

-2

0,86

8 - 9

5

40

40

45

45

0

0

0

0

1

9 - 10

13

45

45

58

58

0

0

0

0

1

9 - 11

6

45

61

51

67

16

0

0

-16

0,47

10 - 11

4

58

63

62

67

5

0

0

-5

0,71

10 - 12

17

58

58

75

75

0

0

0

0

1

11 - 12

8

62

67

70

75

5

0

0

-5

0,47

12 -13

6

75

75

81

81

0

0

0

0

1

6.5 Расчет параметров СГ в целом

В этом разделе определяются следующие параметры СГ:

Количество событий nс в СГ, включая исходное (14).

Количество работ nр в СГ (22).

Коэффициент сложности kс СГ, равный отношению количества работ к количеству событий в СГ (kс =22/14=1.58).

Критический путь Lкр в СГ проходит через события и работы, не обладающие резервами времени, и имеет, следовательно, максимальную продолжительность tкр (81 день), равную сроку свершения завершающего события. Продолжительность критического пути соответствует математическому ожиданию срока свершения завершающего события, равного сумме ожидаемых продолжительностей работ, составляющих критический путь.

6.6 Расчет затрат на НИОКР

Для выполнения НИОКР в соответствии с сетевым графиком необходима рабочая группа из 3-х человек: ведущий инженер - 1 человек, ИТР - 1 человек, лаборант - 1 человек.

Основную заработную плату рассчитываем, принимая, что у исполнителей 22 рабочих дня в месяце, и она представляет собой сумму прямой заработной платы, поясного коэффициента и премии. Дополнительная заработная плата на ПО "Полёт" составляет 40% от основной, поясной коэффициент - 15%, отчисления - 38,5%. Оклад, заработная плата и трудоемкость сведены в таблицу 6.4.

Таблица 6.4 - Заработная плата на проектирование

Категория персонала

Оклад

Трудоемкость

Однодневная з/п

Прямая з/п

Ур. коэфф.

Премия

Основная з/п

Дополнительная з/п

Отчисления на соц. страх.

НС

5000

18

227,27

7954,45

1193,17

1829,52

10977,14

4390,86

4226,2

ИТР

3000

57

136,36

20317,64

3047,65

4673,06

28038,35

11215,3

10794,8

Лаборанты

2000

30

90,91

4545,5

681,83

1045,47

6272,8

2509,12

2415,03

Итого:

45288,29

18115,3

17436

Затраты на расходуемые в процессе изготовления изделия материалы рассчитываются и заносятся в таблицу 6.5

Таблица 6.5 - Материалы за вычетом отходов

Наименование, тип, марка, ГОСТ

Еденица измерения

Цена, руб.

Норма расхода

Стоимость материала, руб.

Клей ВК-9 ОСТ 4.ГО.025.204

кг

25

0,05

1,25

Лак НЦ-62 ОСТ 6.10391-74

кг

50

0,2

10

Провод монтажный

МГШВ-0,25

ГОСТ 20171-63

м

9

0,5

4,5

Припой ПОССу 61-0,5 ГОСТ 21931-76

кг

50

0,1

5

Стеклотекстолит

СТ1-1-35-0,3

ТУ 16-503.161-83

мІ

285

0,04

11,4

Канифоль

кг

10

0,1

1

Флюс ФКСП

ОСТ 4.ГО.033.200

кг

5

0,1

0,5

Итого:

33

Покупные изделия системы и затраты на них при производстве берем в соответствии с перечнем элементов, рассчитываем исходя из цены одного изделия и сводим в таблицу 6.6.

Таблица 6.6 - Покупные комплектующие изделия.

Наименование

Количество, шт.

Цена 1-го изделия, руб.

Стоимость, руб.

Конденсаторы

К10-17В

39

1,37

53,43

К53-32

12

1,5

18

Резисторы

Р1-12-0,125

52

0,3

15,6

С2-33H-0,5

3

18

54

Коммутация

Вилка ГРПМ9-62ШУ2-В

1

4

4

Вилка IDC BH-10М- R

1

5,6

5,6

Кнопка КМ-1-1 В

2

3

6

Резонаторы

О-1.0JCO14-3-В-Т1

1

35,7

35,7

Микросхемы

2ДС627A

7

24,3

170,1

Блок Б19K-2

7

22

154

MAX6816

7

32

224

MAX6814

2

53

106

CPC1004N

118

15

1770

IN74ACT14D

1

28

28

EPC2LC20

1

210

210

EPF10K10QC208-4

1

1452

1452

МПВ10А

1

210

210

Диоды

Индикатор 3Л341Г1

2

8

16

Индикатор 3Л341В1

2

8

16

2Д522Б

1

9

9

Итого:

4557,43

6.7 Предпроизводственные затраты

Статьи, включенные в смету затрат на проведение НИОКР сведены в таблицу 6.7. Графа ''Прочие прямые расходы'' рассчитывается как 3% от суммы затрат по предыдущим статьям. Накладные расходы составляют 5% от суммы по предыдущим статьям. Они включают в себя затраты на производственные командировки, контрагентские расходы, фонды экономического стимулирования, федеральные и территориальные налоги и т. д. Специальное оборудование для проведения НИОКР не приобретается.

Таблица 6.7 - Смета затрат на проведение НИОКР

Наименование статьи затрат

Сумма, руб.

1. Материалы за вычетом отходов

33

2. Покупные изделия

4557,43

3. Основная заработная плата

45288,29

4. Дополнительная заработная плата

18115,3

5. Отчисления на социальное страхование

17436

6. Прочие прямые расходы

1450,65

7. Накладные расходы

2490,28

Итого:

89370.95

Капитальные вложения на стадии освоения и производства изделия Кпt рассчитываются по формуле:

Кпt = Косв + Кт.о + Ко.с, (6.7.1)

где Косв - затраты на освоение производства изделия, доработку опытных образцов, изготовление моделей, проведения тепловых, климатических и виброиспытаний, Косв = 60% от сметы затрат на проведение НИОКР;

Кт.о - затраты на технологическую оснастку (общий объём этих работ незначителен, поэтому Кт.о = 10% от сметы затрат на проведение НИОКР);

Ко.с - затраты на пополнение оборотных средств составляют 70% от сметы затрат на проведение НИОКР из-за незначительного объёма выпуска изделия и низких затрат на внецеховых и внутрицеховых транспортировочных операций.

После подстановки числовых значений указанных параметров получаем:

Кпt = (0,60 + 0,10 + 0,70) 89370,95= 125119,33 руб.

6.8 Текущие издержки при производстве продукции

Рассчитываем заработную плату производственных рабочих, а также сопутствующие отчисления.

Процентные отношения дополнительной заработной платы, поясного коэффициента, отчислений к основной аналогичны приведённым выше. В производственном процессе задействованы три категории производственных рабочих: слесарь третьего и четвёртого разряда, сборщик пятого разряда, монтажник шестого разряда.

Таблица 6.8 - Заработная плата производственных рабочих

Операция

Разряд

Часовой тариф, руб./час

Трудоемкость, час

Основная зарплата, руб.

Поясной коэфф.

Дополнительная зарплата, руб.

Отчисления, руб.

1. Комплектация

4

0,91

16

16,89

2,53

1,69

7,15

2. Формовка выводов

4

0,91

10

10,56

1,58

1,06

4,5

3. Лужение выводов

3

0,8

15

13,44

2,02

1,34

5,7

4. Монтаж элементов на печатную плату

6

1,18

20

29,26

4,39

2,93

12,4

5. Промывка

3

0,8

2

1,98

0,3

0,2

0,84

6. Внутриблочный электромонтаж

6

1,18

25

36,58

5,49

3,66

15,5

7. Сборка

5

0,92

30

32,02

4,8

3,2

13,6

8. Обслуживающие операции

3

0,8

25

22,4

3,36

2,24

9,5

Итого:

163,13

24,47

16,32

69,19

Себестоимость изделия находится по методу сметной нормативной калькуляции. Статьи калькуляции себестоимости сведены в таблицу 6.8. Затраты на топливо и энергию рассчитываются прямым счётом или берутся как средние затраты на производственные цели. С достаточной точностью для данного варианта подходит калькуляция топливно-энергетических затрат при производстве прототипа (см. таблицу 6.9). Далее статьи затрат считаются следующим образом:

Износ инструмента есть величина, равная 20% от основной зарплаты производственных рабочих;

Цеховые расходы составляют 380% от основной заработной платы рабочих, в состав их входит статья "Расходы на содержание и эксплуатацию производственного оборудования";

Общезаводские расходы составляют 360% от основной заработной платы рабочих;

Прочие производственные расходы составляют 5% от суммарных затрат на предыдущие статьи;

Производственная себестоимость есть суммарные затраты на все предшествующие статьи;

Внепроизводственные расходы для ПО "Полёт" составляют примерно 2% от производственной себестоимости.

Расходы на подготовку и освоение производства практически отсутствуют, так как большая часть работ приводится вручную или на универсальных приспособлениях, рабочие знакомы с технологией выпуска подобных изделий.

Таким образом, производим расчет полной себестоимости изделия.

Таблица 6.9 - Калькуляция себестоимости изделия

Статья калькуляции

Обозначение

Сумма

Сырьё и материалы (за вычетом отходов)

РМ

33

Покупные комплектующие изделия и полуфабрикаты

РКОМПЛ

4557,43

Основная заработная плата производственных рабочих

ЗОСН

163,13

Дополнительная заработная плата производственных рабочих

ЗДОП

16,32

Отчисления на социальное страхование

ОПР

69,19

Износ инструмента и приспособлений целевого назначения и специальные расходы

ИИН

32,63

Цеховые расходы

РЦ

619,89

Общезаводские расходы

РОЗ

587,27

Прочие производственные расходы

РПРОЧ

704,44

Производственная себестоимость

СПР

6783,31

Внепроизводственные расходы

РВП

135,67

Итого полная себестоимость:

СПОЛН

6918,98

Производственная себестоимость и внепроизводственные расходы формируют полную себестоимость продукции.

Оптовая цена изделия рассчитывается из условия 25% рентабельности производства и составляет:

Циз = 6918,981,25 = 8648,72 руб.

Отпускная цена с учётом налога на добавленную стоимость (НДС = 18%) составляет:

Црозн = 10205,5 руб.

6.9 Единовременные затраты при использовании продукции

Капитальные вложения на стадии использования (эксплуатации) изделия составляют:

Ки = Ксопут + Ксопр, (6.9.1)

где: Ксопр - сопряжённые капитальные вложения, для изделия данного класса полностью отсутствуют;

Ксопут - сопутствующие капитальные вложения потребителя:

Ксопут = Ктр + Км, (6.9.2)

где Ктр - затраты на доставку технических средств к месту эксплуатации, равные 4% от оптовой цены на изделие;

Км - затраты на установку, монтаж и наладку, равные 9% от оптовой цены изделия.

Таким образом, капитальные вложения составили:

Ки = Ксопут = (0,04 + 0,09) 8648,72 = 1124,33 руб.

Текущие эксплуатационные издержки при использовании продукции сведены в таблицу 6.10. Изделие в процессе эксплуатации не требует обслуживающего персонала, поэтому расходы по этой статье принимаем равными нулю. Из-за высокой надёжности устройства исключаются плановые текущие ремонты.

Затраты на внеплановые ремонты не предусмотрены. Затраты на послегарантийные ремонты для данного класса аппаратуры незначительны, в общем случае, они не превышают 2% от полной себестоимости изделия, при этом данная статья включает в себя затраты на оплату работ, связанных с ремонтом изделия в специализированных мастерских, на используемую при этом электроэнергию и вспомогательные материалы.

Затраты на электроэнергию рассчитываются из учёта максимально возможной продолжительности работы устройства [364(24-8)]ПВ, то есть 1740 часов в год. Исходим из того, что 1 кВтчас потребляемой электроэнергии стоит 0,74 руб., а плата потребляет около 10 Вт/ч.

Таблица 6.10 - Текущие эксплуатационные издержки потребителя

Наименование статьи затрат

Обозначение

Сумма, руб./ год

Затраты на послегарантийные ремонты

РТ.ВН

497,75

Затраты на вспомогательные материалы

ЗВМ

248,87

Затраты на потребляемую электроэнергию

ЗЭЛ

64,38

Косвенные затраты

ЗКОСВ

1555,47

Итого:

РИТ

2366,47

Затраты на вспомогательные материалы составляют равными 0,8% от оптовой цены на изделие.

Косвенные затраты, состоящие из расходов по управлению и обслуживанию, составляют 5% от оптовой цены на изделие.

ЗЭЛ = 0,05·1740·0,74 =64,38 руб.

6.10 Показатели экономической эффективности НИОКР

Стоимостная оценка результатов производства одного изделия оценивается по формуле:

Рt = Cаналог - Сизд, (6.10.1)

где Сизд - оптовая цена одного изделия, руб.;

Cаналог - стоимость аналога, 27000 руб.

Подставляя имеющиеся данные в формулу получаем:

Pt = 27000 - 8648,72 = 18351,28 руб.

Экономический эффект мероприятия при производстве t изделий рассчитывается по формуле:

- НИОКР, (6.10.2)

где Рt - результаты мероприятия при производстве t изделий;

Зt - затраты предприятия при производстве t изделий;

t - коэффициент дисконтирования.

Коэффициенты дисконтирования согласно принимаются следующими: t=(0,91; 0,83; 0,75; 0,68; 0,62; 0,56)

Экономический эффект достигается за счет уменьшения цены изделия по сравнению с его аналогом. Из стоимостной оценки результатов производства видно, что разрабатываемое устройство дешевле своего аналога на 18351,28 руб., следовательно, НИОКР окупится за счет этой разницы через 5-6 произведенных изделий (т.е. t = 5-6).

Заключение

В ходе дипломного проектирования была разработана аппаратная и програмная части платы "Устройство управления и индикации РЛС", удовлетворяющая требованиям задания на дипломное проектирование. Предварительно были разработаны и описаны алгоритмы управления РЛС, формирования сигналов индикации на основе сбора сигналов контроля с отдельных узлов РЛС.

Программный комплекс может использоваться по своему прямому назначению - получение файла, содержащего программу прошивки микросхемы ПЗУ EPC2 фирмы ALTERA, входящей в состав платы УУиИ. Программа успешна прошла испытания в изделии АОРЛ-1АС на ФГУП ЧРЗ "Полет".

Пояснительная записка отражает все этапы разработки аппаратного и программного комплекса, начиная от разработки структуры аппаратной части и заканчивая разработкой и внедрением в РЛС программного комплекса. В пояснительной записке также описаны мероприятия по вопросам безопасности жизнедеятельности, а также приведено экономическое обоснование разработки. Сетевое планирование позволило правильно выбрать сроки дипломного проектирования.

Список сокращений

ПЛИС- Программируемая логическая интегральная схема

ПЗУ- Постоянное запоминающее устройство

ОЗУ- Оперативное запоминающее устройство

КДП- Контрольно- диспетчерский пункт

РЛС- Радиолокационная станция

ПРЛС- Панель РЛС

ПК- Первичный канал

ВК- Вторичный канал

ПТЭ- правила технической эксплуатации

ПЭВМ- персональная электронно-вычислительная машина

Литература

1. Антонов А.П. Язык описания цифровых устройств. Практический курс. М.: ИП РадиоСофт, 2001. - 224 с.: ил.

2. Соловьев В.В. Проектирование цифровых систем на основе программируемых интегральных схем. - М.: Горячая линия - Телеком, 2001. - 636 с. ил.

3. Аэродромный радиолокационный комплекс АОРЛ-85: Учебное пособие / В.Е. Лернер, В.В. Миронов, М.А. Шильман; РКИИГА. Рига 1989. 105 с.

4. ГОСТ 12.0.003-74 ССБТ. Опасные и вредные производственные факторы.

5. ГОСТ 12.1.030-81 ССБТ И - 1.08.87. Электробезопасность. Защитное заземление, зануление.

6. ГОСТ 12.1.038-82 ССБТ И - 1.04.88. Электробезопасность. Предельно допустимые уровни напряжений прикосновения и токов.

7. ГОСТ 29.05.006-85. Электрические поля промышленной частоты. Допустимые уровни напряженности и требования к проведению контроля на рабочих местах.

8. ГОСТ 12.1.003-83 ССБТ. Шум общие требования безопасности.

9. ГОСТ 12.2.031-78. Производственное помещение. Общие эргономические требования.

10. Методические указания по дипломному проектированию для студентов приборостроительного факультета (Раздел "Охрана труда") / Составитель Н.М. Мирзаева; Под ред. А.И. Сидорова. - Челябинск: ЧПИ, 1989. - 15 с.

11. СТП ЮУрГУ 04-2001. Стандарт предприятия. Курсовое и дипломное проектирование. Общие требования к оформлению / Составители: Сырейшикова Н.В., Гузеев В.И., Сурков И.В., Винокурова Л.В. - Челябинск: ЮУрГУ, 2001. - 49 с.

Приложение

Тексты программных модулей

Основной программный модуль

include"form_mode.inc";

include"form_f.inc";

include"proc.inc";

include"form_control.inc";

include"form_out.inc";

subdesign usc

(

in[56..0]: input;

clk: input;

test: input;

tu: input;

wmode: input;

out[56..0]: output;

mode: output;

tnorm: output;

terror: output;

upr: output;

work: output;

)

variable

ft_ts: node;

cf: node;

nres: node;

ftt: node;

ftt2: node;

ftt3: node;

nreset: node;

data_work[56..0]: node;

data_ts[56..0] : node;

begin

mode= form_mode(test, tu, ft_dn, nreset);

(data_ts[], ft_ts, cf, nres, ftt, ftt2, ftt3, nreset)= form_f(clk);

data_work[]= proc(in[], clk, ftt, ftt2, nreset, wmode);

(tnorm, terror)= form_control(in[], out[], wmode, mode, ft_ts, cf, nres, ftt3);

out[]= form_out(!data_work[], data_ts[],

mode, clk, nreset);

upr= vcc;

work= nreset;

end;

Подпрограмма формирователя режимов работы ПЛИС

subdesign form_mode

(

test: input;

tu: input;

nreset: input;

mode: output;

)

variable

mode: dff;

begin

mode.clk= test;

mode.d= !mode.q;

mode.prn= !tu & nreset;

end;

Подпрограмма формирования сетки частот и управляющих сигналов

include"ct.inc";

include"dc_ts.inc";

subdesign form_f

(

clk: input;

data_ts[56..0]: output;

ft_ts: output;

cf: output;

nres: output;

ftt: output;

ftt2: output;

ftt3: output;

nreset : output;

)

variable

q[25..0]: node;

t1: dff;

t2: dff;

t3: dff;

t4: dff;

t5: dff;

begin

q[]= ct(clk);

data_ts[]= dc_ts(q[23..18]);

ft_ts= q[13];

ftt= q[19];

ftt2= q[20];

ftt3= q[25];

t1.clk= clk;

t1.d= q[18];

t2.clk= clk;

t2.d= t1.q;

cf= t1.q $ t2.q;

t3.clk= clk;

t3.d= !cf;

t4.clk= clk;

t4.d= t3.q;

nres= t4.q;

t5.clk= q[20];

t5.d= vcc;

t5.prn= !t5.q;

nreset= t5.q;

end;

Подпрограмма устройства тестевого

subdesign form_control

(

inc[56..0]: input;

outc[56..0]: input;

wmode: input;

mode: input;

ft_ts: input;

cf: input;

nres: input;

ftt3: input;

tnorm: output;

terror: output;

)

variable

in[56..0]: node;

v[55..0]: node;

afail: node;

cafail: node;

ct[2..0]: dff;

dcs: dff;

or1: dff;

or2: dff;

or3: dff;

or4: dff;

or5: dff;

begin

in[0]= !wmode $ !inc[0];

in[1]= !wmode $ !inc[1];

in[2]= !wmode $ !inc[2];

in[3]= !wmode $ !inc[3];

in[56..4]= !inc[56..4];

v[0]= !in[0] $ outc[0];

for i in 0 to 54 generate

v[i+1]= v[i] # (!in[i+1] $ outc[i+1]);

end generate;

afail= v[55] # (!in[56] $ outc[56]);

cafail= !afail # !ft_ts;

ct[].clrn= nres;

ct[0].clk= cafail;

ct[0].d= !ct[0].q;

ct[1].clk= !ct[0].q;

ct[1].d= !ct[1].q;

ct[2].clk= !ct[1].q;

ct[2].d= !ct[2].q;

dcs.d= !ct[0] & !ct[1] & ct[2];

dcs.clk= cafail;

or1.d= vcc;

or1.clk= dcs.q;

or1.clrn= nres;

or2.d= or1.q;

or2.clk= cf;

or3.d= vcc;

or3.clk= ftt2;

or3.clrn= !or4.q;

or4.d= or3.q;

or4.clk= ft_ts;

or5.d= vcc;

or5.clk= ft_ts & or2.q;

or5.clrn= !or4.q;

terror= or5.q & !mode;

tnorm= !or5.q & !mode;

end;

Подпрограмма мультиплексора выходных сигналов

subdesign form_out

(

data_work[56..0]: input;

data_ts[56..0]: input;

mode: input;

clk: input;

nreset: input;

out[56..0]: output;

)

variable

t[56..0]: dff;

begin

if mode then

t[].d = data_work[];

else

t[].d = data_ts[];

end if;

t[].clk= clk;

t[].clrn= nreset;

out[]= t[].q;

end;

Подпрограмма обработки информационных сигналов

include "proc_usc";

include "proc_usc_01";

subdesign proc

(

inc[56..0] : input;

clk : input;

ftt: input;

ftt2 : input;

nreset : input;

wmode : input;

proc[56..0] : output;

)

variable

v0 : proc_usc;

v1 : proc_usc_01;

trc : node;

begin

trc = vcc;

if wmode then

v1.a = inc42;

v1.b = inc3;

v1.c = inc17;

v1.d = inc41;

v1.e = inc1;

v1.k = inc9;

v1.l = inc45;

v1.m = inc46;

v1.n = inc52;

v1.o = inc2;

v1.u1 = inc31;

v1.u2 = inc4;

v1.a1 = inc12;

v1.a2 = inc55;

v1.c1 = inc11;

v1.d1 = inc16;

v1.k1 = inc10;

v1.l1 = inc8;

v1.con0 = inc24;

v1.con1 = inc43;

v1.con2 = inc32;

v1.con3 = inc44;

v1.con4 = inc40;

v1.con5 = inc6;

v1.con6 = inc28;

v1.con7 = inc0;

v1.con8 = inc25;

v1.con9 = inc5;

v1.con10 = inc18;

v1.con12 = inc22;

v1.con13 = inc38;

v1.con14 = inc56;

v1.con15 = inc50;

v1.con16 = inc7;

v1.con17 = inc30;

v1.clk = clk;

v1.ftt = ftt;

v1.ftt2 = ftt2;

proc0 = trc;

proc1 = trc;

proc2 = trc;

proc3 = trc;

proc4 = v1.kdp1;

proc5 = trc;

proc6 = v1.z1;

proc7 = trc;

proc8 = trc;

proc9 = trc;

proc10 = trc;

proc11 = trc;

proc12 = trc;

proc13 = v1.kdp0;

proc14 = v1.prls12;

proc15 = trc;

proc16 = trc;

proc17 = trc;

proc18 = trc;

proc19 = v1.kdp3;

proc20 = v1.kdp4;

proc21 = v1.kdp2;

proc22 = trc;

proc23 = v1.kdp5;

proc24 = trc;

proc25 = trc;

proc26 = v1.prls7;

proc27 = v1.prls5;

proc28 = trc;

proc29 = v1.prls1;

proc30 = trc;

proc31 = trc;

proc32 = trc;

proc33 = v1.s;

proc34 = v1.prls8;

proc35 = v1.prls9;

proc36 = v1.prls11;

proc37 = v1.prls10;

proc38 = trc;

proc39 = v1.z;

proc40 = trc;

proc41 = trc;

proc42 = trc;

proc43 = trc;

proc44 = trc;

proc45 = trc;

proc46 = trc;

proc47 = v1.prls6;

proc48 = v1.prls0;

proc49 = v1.prls4;

proc50 = trc;

proc51 = v1.prls2;

proc52 = trc;

proc53 = v1.s1;

proc54 = v1.prls3;

proc55 = trc;

proc56 = trc;

else

v0.pc_a = inc27;

v0.pc_b = inc28;

v0.pc_c = inc7;

v0.pc_d = inc9;

v0.pc_c1 = inc8;

v0.pc_d1 = inc10;

v0.pc_e = inc11;

v0.pc_q = inc13;

v0.pc_e1 = inc12;

v0.pc_q1 = inc14;

v0.pc_z = inc48;

v0.pc_s = inc49;

v0.sc_a = inc31;

v0.sc_b = inc32;

v0.sc_c = inc17;

v0.sc_d = inc19;

v0.sc_c1 = inc18;

v0.sc_d1 = inc20;

v0.sc_e = inc21;

v0.sc_q = inc23;

v0.sc_e1 = inc22;

v0.sc_q1 = inc24;

v0.sc_z = inc50;

v0.sc_s = inc51;

v0.u = inc35;

v0.k = inc38;

v0.l = inc41;

v0.inh = inc0;

v0.du_in = inc46;

v0.cu_in = inc5;

v0.mu_in = inc3;

v0.remont_pc_in= inc56;

v0.remont_sc_in= inc54;

v0.clk = clk;

v0.nreset = nreset;

proc0 = trc;

proc1 = v0.flag;

proc2 = v0.remont_out;

proc3 = trc;

proc4 = v0.mu_out;

proc5 = trc;

proc6 = v0.cu_out;

proc7 = trc;

proc8 = trc;

proc9 = trc;

proc10 = trc;

proc11 = trc;

proc12 = trc;

proc13 = trc;

proc14 = trc;

proc15 = v0.pc_out_c;

proc16 = v0.pc_out_d;

proc17 = trc;

proc18 = trc;

proc19 = trc;

proc20 = trc;

proc21 = trc;

proc22 = trc;

proc23 = trc;

proc24 = trc;

proc25 = v0.sc_out_c;

proc26 = v0.sc_out_d;

proc27 = trc;

proc28 = trc;

proc29 = v0.pc_out_a;

proc30 = v0.pc_out_b;

proc31 = trc;

proc32 = trc;

proc33 = v0.sc_out_a;

proc34 = v0.sc_out_b;

proc35 = trc;

proc36 = v0.out_u1;

proc37 = v0.out_u2;

proc38 = trc;

proc39 = v0.out_k1;

proc40 = v0.out_k2;

proc41 = trc;

proc42 = v0.out_l1;

proc43 = v0.out_l2;

proc44 = trc;

proc45 = trc;

proc46 = trc;

proc47 = trc;

proc48 = trc;

proc49 = trc;

proc50 = trc;

proc51 = trc;

proc52 = trc;

proc53 = v0.du_out;

proc54 = trc;

proc55 = trc;

proc56 = trc;

end if;

end;

Подпрограмма режима управления

include "rstrigger.inc";

include "apoi.inc";

SUBDESIGN PROC_USC

(

pc_a : INPUT;

pc_b : INPUT;

pc_c : INPUT;

pc_d : INPUT;

pc_c1 : INPUT;

pc_d1 : INPUT;

pc_e : INPUT;

pc_q : INPUT;

pc_e1 : INPUT;

pc_q1 : INPUT;

pc_Z : INPUT;

pc_S : INPUT;

sc_a : INPUT;

sc_b : INPUT;

sc_c : INPUT;

sc_d : INPUT;

sc_c1 : INPUT;

sc_d1 : INPUT;

sc_e : INPUT;

sc_q : INPUT;

sc_e1 : INPUT;

sc_q1 : INPUT;

sc_Z : INPUT;

sc_S : INPUT;

u : INPUT;

k : INPUT;

l : INPUT;

clk : INPUT;

nreset : INPUT;

inh : INPUT;

pc_out_a : OUTPUT;

pc_out_b : OUTPUT;

pc_out_c : OUTPUT;

pc_out_d : OUTPUT;

sc_out_a : OUTPUT;

sc_out_b : OUTPUT;

sc_out_c : OUTPUT;

sc_out_d : OUTPUT;

out_u1 : OUTPUT;

out_u2 : OUTPUT;

out_k1 : OUTPUT;

out_k2 : OUTPUT;

out_l1 : OUTPUT;

out_l2 : OUTPUT;

flag : OUTPUT;

DU_in : INPUT;

CU_in : INPUT;

MU_in : INPUT;

remont_pc_in : INPUT;

remont_sc_in : INPUT;

remont_out : OUTPUT;

DU_out : OUTPUT;

CU_out : OUTPUT;

MU_out : OUTPUT;

)

VARIABLE

trig1 : rstrigger;

trig2 : rstrigger;

trig3 : rstrigger;

trig4 : rstrigger;

trig5 : rstrigger;

trig6 : rstrigger;

t1 : dff;

t2 : dff;

t3 : dff;

t4 : dff;

DU : node;

AP : apoi;

BEGIN

trig1.nr = pc_a & nreset;

trig1.ns = pc_b;

trig1.clk = clk;

t1.d = trig1.q;

t1.clk = clk;

t1.prn = inh;

pc_out_a = t1.q;

t2.d = !trig1.q;

t2.clk = clk;

t2.prn = inh;

pc_out_b = t2.q;

trig2.ns = (DU # pc_d) & (!DU # pc_d1) & pc_S & nreset;

trig2.nr = (DU # pc_c) & (!DU # pc_c1) & pc_Z;

trig2.clk = clk;

pc_out_c = trig2.q;

trig3.ns = (DU # pc_q) & (!DU # pc_q1) & pc_S & nreset & !pc_out_c;

trig3.nr = (DU # pc_e # pc_out_c) & (!DU # pc_e1 # pc_out_c) & pc_Z;

trig3.clk = clk;

pc_out_d = trig3.q;

trig4.nr = sc_a & nreset;

trig4.ns = sc_b;

trig4.clk = clk;

t3.d = trig4.q;

t3.clk = clk;

t3.prn = inh;

sc_out_a = t3.q;

t4.d = !trig4.q;

t4.clk = clk;

t4.prn = inh;

sc_out_b = t4.q;

trig5.ns = (DU # sc_d) & (!DU # sc_d1) & sc_S & nreset;

trig5.nr = (DU # sc_c) & (!DU # sc_c1) & sc_Z;

trig5.clk = clk;

sc_out_c = trig5.q;

trig6.ns = (DU # sc_q) & (!DU # sc_q1) & sc_S & nreset & !sc_out_c;

trig6.nr = (DU # sc_e # sc_out_c) & (!DU # sc_e1 # sc_out_c) & sc_Z;

trig6.clk = clk;

sc_out_d = trig6.q;

out_u1 = pc_out_a # DU # u;

out_u2 = pc_out_b # DU # u;

out_k1 = pc_out_a # DU # k;

out_k2 = pc_out_b # DU # k;

out_l1 = pc_out_a # DU # l;

out_l2 = pc_out_b # DU # l;

flag = gnd;

AP.nreset = nreset;

AP.du_in = DU_in;

AP.cu_in = CU_in;

AP.mu_in = MU_in;

AP.remont_pc = remont_pc_in;

AP.remont_sc = remont_sc_in;

remont_out = AP.remont_out;

DU_out = AP.du_out;

CU_out = AP.cu_out;

MU_out = AP.mu_out;

DU = DU_out;

END;

Подпрограмма режима сигнализации

INCLUDE "timer20.inc";

INCLUDE "timer5.inc";

INCLUDE "timer2.inc";

SUBDESIGN PROC_USC_01

(

a : INPUT;

b : INPUT;

c : INPUT;

d : INPUT;

e : INPUT;

k : INPUT;

l : INPUT;

m : INPUT;

n : INPUT;

o : INPUT;

u1 : INPUT;

u2 : INPUT;

a1 : INPUT;

a2 : INPUT;

c1 : INPUT;

d1 : INPUT;

k1 : INPUT;

l1 : INPUT;

CON0 : INPUT;

CON1 : INPUT;

CON2 : INPUT;

CON3 : INPUT;

CON4 : INPUT;

CON5 : INPUT;

CON6 : INPUT;

CON7 : INPUT;

CON8 : INPUT;

CON9 : INPUT;

CON10 : INPUT;

CON12 : INPUT;

CON13 : INPUT;

CON14 : INPUT;

CON15 : INPUT;

CON16 : INPUT;

CON17 : INPUT;

S : OUTPUT;

S1 : OUTPUT;

Z : OUTPUT;

Z1 : OUTPUT;

CLK : INPUT;

FTT : INPUT;

FTT2 : INPUT;

KDP0 : OUTPUT;

KDP1 : OUTPUT;

KDP2 : OUTPUT;

KDP3 : OUTPUT;

KDP4 : OUTPUT;

KDP5 : OUTPUT;

PRLS0 : OUTPUT;

PRLS1 : OUTPUT;

PRLS2 : OUTPUT;

PRLS3 : OUTPUT;

PRLS4 : OUTPUT;

PRLS5 : OUTPUT;

PRLS6 : OUTPUT;

PRLS7 : OUTPUT;

PRLS8 : OUTPUT;

PRLS9 : OUTPUT;

PRLS10 : OUTPUT;

PRLS11 : OUTPUT;

PRLS12 : OUTPUT;

)

VARIABLE

sa : NODE;

sb : NODE;

sc : NODE;

sd : NODE;

se : NODE;

sk : NODE;

sl : NODE;

sm : NODE;

sn : NODE;

so : NODE;

su1 : NODE;

su2 : NODE;

sa1 : NODE;

sa2 : NODE;

sc1 : NODE;

sd1 : NODE;

sk1 : NODE;

sl1 : NODE;

se1 : NODE;

se2 : NODE;

pp : NODE;

t2p1 : NODE;

t2p2 : NODE;

t2p3 : NODE;

t2p4 : NODE;

t2p5 : NODE;

t2p6 : NODE;

t2p7 : NODE;

t2p8 : NODE;

t2p9 : NODE;

t2p10 : NODE;

t2p11 : NODE;

t2p12 : NODE;

t2p13 : NODE;

t2ap1_IPC : NODE;

t2ap2_ISC : NODE;

t2ap3_M : NODE;

t2ap3_h : NODE;

t2ap3_W : NODE;

t2ap4_M1 : NODE;

t2ap4_Q : NODE;

t2ap5_R : NODE;

t2ap5_W1 : NODE;

t2ap6_R1 : NODE;

t2ap6_Q1 : NODE;

P : NODE;

Pt : NODE;

S1t : NODE;

P1 : NODE;

P1t : NODE;

St : NODE;

BEGIN

sa = DFFE(a, CLK, CON12, VCC, !CON2);

sb = DFFE(b, CLK, VCC, CON12, !CON2);

sc = DFFE(c, CLK, VCC, CON12, !CON2);

sd = DFFE(d, CLK, VCC, CON12, !CON2);

se1 = DFFE(e, CLK, VCC, CON12, !CON2);

sk = DFFE(k, CLK, CON12, VCC, !CON2);

sl = DFFE(l, CLK, VCC, CON12, !CON2);

sm = DFFE(m, CLK, CON12, VCC, !CON2);

sn = DFFE(n, CLK, VCC, CON12, !CON2);

so = DFFE(o, CLK, VCC, CON12, !CON2);

su1 = DFFE(u1,CLK, CON12, VCC, !CON2);

su2 = DFFE(u2,CLK, CON12, VCC, !CON2);

sa1 = DFFE(a1,CLK, CON12, VCC, !CON3);

sa2 = DFFE(a2,CLK, CON12, VCC, !CON3);

sc1 = DFFE(c1,CLK, VCC, CON12, !CON3);

sd1 = DFFE(d1,CLK, VCC, CON12, !CON3);

se2 = DFFE(e, CLK, VCC, CON12, !CON3);

sk1 = DFFE(k1,CLK, CON12, VCC, !CON3);

sl1 = DFFE(l1,CLK, CON12, VCC, !CON3);

se = se1 & se2;

pp = timer5(CON17,FTT);

t2p1 = !d # !l;

t2p2 = !d1 # l1;

t2p3 = a;

t2p4 = a1 # a2;

t2p5 = !m # !b;

t2p6 = !c # !c1 # k # k1;

t2p7 = sl;

t2p8 = !sl1;

t2p9 = !sa;

t2p10 = !sa1 & !sa2;

t2p11 = sm;

t2p12 = !sk & !sk1;

t2p13 = sb & sc & sd & sd1 & sc1;

t2ap1_IPC = !t2ap3_W # !t2ap5_W1;

t2ap2_ISC = !t2ap4_Q # !t2ap6_Q1;

t2ap3_M = !sa & se & sl & !sk & sm;

t2ap3_h = (!sn & !so) # (su1 & su2);

t2ap3_W = t2ap3_M & !t2ap3_h;

t2ap4_M1 = !sa1 & !sa2 & se & !sk1 & !sl1;

t2ap4_Q = !t2ap3_h & t2ap4_M1;

t2ap5_R = sb & sc & sd;

t2ap5_W1 = t2ap5_R & !su1;

t2ap6_R1 = sc1 & sd1;

t2ap6_Q1 = t2ap6_R1 & !su1;

PRLS0 = t2p1;

PRLS1 = t2p2;

PRLS2 = t2p3;

PRLS3 = t2p4;

PRLS4 = t2p5;


Подобные документы

  • Проектирование устройства индикации на микроконтроллере KP1816BE51. Выбор и обоснование аппаратной части устройства. Разработка обслуживающей программы на ассемблере. Время выполнения программы индикации. Максимальная оптимизация выполняемого кода.

    курсовая работа [21,6 K], добавлен 22.03.2011

  • Разработка микропроцессорного устройства измерения параметров аналоговых сигналов и передачи измеренных величин по беспроводному каналу связи на ЭВМ. Выбор микроконтроллера, микросхемы, интерфейса связи. Разработка программного обеспечения для управления.

    курсовая работа [1,3 M], добавлен 24.06.2013

  • Отличия активной радиолокации от пассивной. Выбор и расчет основных параметров и схемы построения антенного устройства. Основные методы образования радиолокационных сигналов. Разработка линейной решетки излучателей, системы распределения мощности.

    дипломная работа [2,0 M], добавлен 18.11.2017

  • Структурная схема устройства, принцип его работы. Выбор элементов функциональной схемы стенда. Разработка аппаратной части, конструктивное построение. Технология изготовления печатной платы. Обеспечение системы электробезопасности проектируемого изделия.

    дипломная работа [2,0 M], добавлен 14.02.2011

  • Расчет отдельных узлов и основных элементов схемы. Выбор счетчика и эталонного генератора импульсов, синхронизирующего устройства и его элементов. Разработка схемы индикации напряжения управления на основе семисигментных светодиодных индикаторов.

    курсовая работа [1,4 M], добавлен 18.07.2013

  • Разработка функциональной и принципиальной схемы устройства, расчет его силовой части. Разработка системы управления: микроконтроллера, элементов системы, источники питания. Моделирование работы преобразователя напряжения, программного обеспечения.

    дипломная работа [2,4 M], добавлен 22.08.2011

  • Разработка функциональной схемы устройства, осуществляющего обработку входных сигналов в соответствии с заданным математическим выражением зависимости выходного сигнала от двух входных сигналов. Расчет электрических схем вычислительного устройства.

    курсовая работа [467,5 K], добавлен 15.08.2012

  • Технологические параметры очистки щелочного электролита. Сущность метода электродиализа. Разработка функциональной схемы устройства. Расчет параметров и выбор элементов силовой части. Разработка алгоритма работы микроконтроллера системы управления.

    дипломная работа [646,9 K], добавлен 27.04.2011

  • Анализ исходных данных и выбор конструкции. Разработка коммутационной схемы. Расчет параметров элементов. Тепловой расчет микросхемы в корпусе. Расчет паразитных емкостей и параметров надежности микросхемы. Разработка технологии изготовления микросхем.

    курсовая работа [150,4 K], добавлен 12.06.2010

  • Разработка радиоприемного устройства профессиональной связи УКВ диапазона, создание схемотехнической модели системы: выбор и обоснование структурной схемы; расчет и моделирование отдельных узлов; расчет экономических параметров; экологичность проекта.

    дипломная работа [5,9 M], добавлен 16.02.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.