Делитель частоты на основе пересчётной схемы на D-триггерах

Принципы работы счетчика двоичных чисел, методика синтеза счетчиков-делителей. Построение функциональной и принципиальной схем. Схема счетчика-делителя с коэффициентом деления 48. Применение счетчиков на интегральных схемах со средней степенью интеграции.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 14.11.2017
Размер файла 295,0 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Делитель частоты на основе пересчётной схемы на D-триггерах

Реферат

Курсовая работа по дисциплине «Схемотехника» содержит пояснительную записку на 31 листа формата А4, 1 лист формата А2, включающую 8 таблиц, 14 рисунков, и 6 источников.

ДЕЛИТЕЛЬ ЧАСТОТЫ, КАРТА КАРНО, ТРИГГЕР, ИНТЕГРАЛЬНАЯ МИКРОСХЕМА, СЕМИСЕГМЕНТНАЯ ИНДИКАЦИЯ, ВРЕМЕННАЯ ДИАГРАММА, ПРИНЦИПИАЛЬНАЯ СХЕМА, ДВОИЧНЫЙ СЧЁТЧИК.

Целью курсовой работы является проектирование делителя частоты на основе пересчётной схемы на D-триггерах с заданным коэффициентом деления и реализация 7-сегментной индикации результата работы делителя.

Введение

В ходе данной курсовой работы необходимо спроектировать делитель частоты с коэффициентом деления К=48. Построить делитель частоты можно с помощью обычного двоичного счетчика, если его модуль=. Счетчик по модулю можно реализовать без дополнительных логических элементов. Но в нашем случае мы видим, что .

Для данного коэффициента можно использовать несколько вариантов синтеза счетчиков по модулю отличных от , в ходе работы выясним какой из них имеет меньшие аппаратные затраты и более приемлем для применения для данного делителя частоты.

Так же необходимо рассмотреть возможность применения делителя частоты с использованием счетчиков СИС ТТЛ (интегральные схемы средней степени интеграции транзисторно-транзисторной логики). Данный способ является простейшим вариантом реализации делителя частоты и, как следствие, должен иметь маленькие аппаратные затраты.

Следующим этапом данной курсовой работы будет являться реализация восстановления нормальной работы делителя при сбойных ситуациях. Для построения антисбойной схемы будем использовать запрет комбинаций, недопустимых для данного счетчика и построение соответствующих комбинационных схем.

Запуск и останов схемы будет выполнен по внешним сигналам управления с помощью внешней синхронизации.

Задачи: Разработка алгоритма устройства, VHDL-модели, функциональной и принципиальной схемы; анализ временных диаграмм; расчеты и оценка быстродействия и энергопотребления;

1. Литературный обзор

Делитель частоты - электронное устройство, уменьшающее в целое число раз частоту подводимых к нему периодических колебаний. Для деления частоты применяют: электронный счётчик (триггер), самовозбуждающийся генератор синусоидальных колебаний, регенеративное устройство, самовозбуждающийся генератор с устройством фазовой автоматической подстройки частоты (ФАПЧ), релаксационный генератор и др.

В самовозбуждающемся генераторе синусоидальных колебаний деление осуществляется синхронизацией его частоты на субгармоническом колебании частоты внешнего периодического сигнала с использованием явления захватывания частоты. В регенеративном Д. ч. синусоидальных колебаний (Рисунок 1) на преобразователь частоты подаются периодический сигнал частоты f, подлежащей делению, и сигнал частоты (k - 1)? f/k (k - коэффициент деления), возникающий в цепи обратной связи только при подведении на вход Д. ч. напряжения преобразуемой частоты. На выходе преобразователя выделяется сигнал разностной частоты, равной f/k. В устройстве, состоящем из самовозбуждающегося генератора и ФАПЧ, фазовым детектором сравниваются частота гармонического колебания в k раз большая, чем основная частота колебаний генератора, и делимая частота. С выхода фазового детектора напряжение рассогласования, пропорциональное разности сравниваемых частот, подаётся на генератор и изменяет его основную частоту до тех пор, пока она не станет точно в k раз меньше делимой частоты. Для деления частоты повторения импульсных сигналов применяют Д. ч. на релаксационных генераторах, работающих в периодическом режиме с захватыванием частоты повторения импульсов на субгармоническом колебании или в ждущем режиме с периодом повторения импульсов большим в k раз.

Рисунок 1 - Схема регенеративного делителя частоты.

Для деления частоты на 2, 4, 8, 16 и т.д. достаточно организовать цепочку, состоящую из нужного числа счетных, так называемых, D-триггеров. Для деления частоты в «нестандартное» число раз, к примеру, на 3 или 5, необходима специальная схема контроля, которая бы сбрасывала все триггеры при определенном их состоянии, чтобы счет начинался с нуля. В данной работе применим именно этот способ реализации делителя частоты, потому что он больше всего подходит под данное задание и более прост в исполнении.

Варианты синтеза счетчиков по модулю отличных от :

1. Асинхронный счетчик с управляемым сбросом;

2. Асинхронный счетчик с нормированным сбросом;

3.Асинхронный счетчик с нормированным сбросом (с разбиением на коэффициенты);

4. Счетчик с межразрядными связями;

5. Делитель частоты с использованием счетчиков СИС ТТЛ (интегральные схемы средней степени интеграции транзисторно-транзисторной логики).

Для выполнения задания я выбрал асинхронный счетчик с управляемым сбросом имеет меньшие аппаратные затраты и более приемлем для применения для данного делителя частоты.

При построении счетчика с асинхронным управляемым сбросом выявляется момент достижения содержимым счетчика значения Кпер и это является сигналом асинхронного сброса счетчика в текущем такте. Следовательно, состояние счетчика, соответствующее значению Кпер, будет промежуточным и кратковременным, а последнее устойчивое состояние счетчика соответствует значению Кпер-1. На Рисунке 3 показана схема и временные диаграммы работы счетчика с коэффициентом пересчета Кпер = 10 на основе микросхемы счетчика с асинхронным сбросом ЭКР1554ИЕ23. В процессе моделирования делителя в программе будем использовать счётчик 7493(аналог данной микросхемы)

Рисунок 2 - Счетчик с управляемым асинхронным сбросом. Схема включения (а) и временные диаграммы работы (б)

2. Алгоритм устройства

Выбранный мною асинхронный счетчик с управляемым сбросом работает по следующему алгоритму:

1. По фронту синхросигнала считать входной сигнал. В состоянии счёта на входе сброса должна присутствовать единица.

2. Счётчик сбрасывается по отрицательному фронту синхросигнала.

3. Схема делителя вырабатывает 1 синхроимпульс на выходе каждые 48 входных синхроимпульсов.

4. В момент сигнала «СТОП» схема прекращает счёт. Сигнал «СТОП» подаётся при переходе счётчика в запрещённое состояние.

Работа делителя:

В данной работе будем использовать обычный асинхронный счетчик-делитель без разложения коэффициента. Эти счетчики имеют последовательность счета от 0 до K-1, по достижению K - счетчик сбрасывается в 0 и возобновляет счет с нулевого состояния.

Коэффициент деления К=48.

Число элементарных автоматов (триггеров), необходимых для построения счетчика с заданным коэффициентом пересчета, равно числу его разрядов и определяется по формуле: n = log2K, где K - коэффициент пересчета (модуль, период счетчика) - максимальное число внутренних состояний, которое должен иметь счетчик. Очевидно, что это число равно максимальному числу входных сигналов, которое может сосчитать счетчик.

Рассчитаем количество триггеров, которые нам понадобиться для реализации счетчика: n =6

Для =48 двоичный код <

3. Функциональная схема и структура взаимодействия

Устройство осуществляет изменение частоты сигнала на выходе по отношению к входному сигналу в 48 раз. На каждые 48-импульсов на входе схемы формируется один импульс на её выходе. Длительность формируемых импульсов может существенно отличаться от длительности импульсов, поступающих на вход схемы. Для того, чтобы перевести D-триггер в счетный режим, нужно соединить инверсный выход триггера Q с его D-входом. Теперь, если подать сигнал на вход С, такая схема тоже будет работать как делитель. Выходной сигнал такого делителя снимается с выхода Q триггера. Предположим, что после включения триггер установился в единичное состояние. Это означает, что на инверсном выходе триггера (Q) присутствует логический ноль. Этот ноль поступает на D-вход. Подадим на вход делителя некоторый цифровой сигнал.

Рисунок 3 - Функциональная схема устройства.

По фронту первого входного импульса D- триггер перейдет в нулевое состояние, так как на его D-входе сигнал логического нуля. После этого на инверсном выходе триггера устанавливается логическая единица. Поэтому по фронту следующего входного импульса триггер переключится в единичное состояние.

Для деления частоты в «нестандартное» число раз (в число, не являющееся степенью двойки), необходима специальная схема контроля, которая бы сбрасывала все триггеры при определенном их состоянии, чтобы счет начинался с нуля. Благодаря элементу 6И-НЕ при состоянии триггеров 1-1-0-0-0-0 высокий уровень, появившийся на его выходе, сбросит все триггеры в ноль (входы R), и счетчик начнет считать сначала. Если перевести 110000 в десятичную систему счисления, получим 48, и это значит, что наш счетчик будет обнуляться после каждого 48-го импульса.

Работа старт-стопной схемы описана в соответствующем пункте.

4. Разработка принципиальной схемы. Временная диаграмма

Построим принципиальную схему счетчика с помощью графического редактора (Рисунок 4)

Анализируя работу схемы можно заметить, что последнее рабочее состояние счетчика =47, при достижении значения K=48 счетчик сбрасывается в 0 через время t установления в исходное состояние. Таким образом в течение этого времени счетчик находится в запрещенном состоянии.

Как видно из временной диаграммы схема сбрасывается в ноль приблизительно на 9,6-ой микросекунде. Если учесть, что период одного импульса равен 200 нс (или 0,2 мкс), а коэффициент деления 48, то , следовательно, 48-ой импульс приходится на 9,6-ую микросекунду, что и можно наблюдать во временной диаграмме. Это подтверждает правильность примененной схемы.

Рисунок 5 - Временная диаграмма счетчика-делителя с управляемым сбросом

Синтез схемы индикации

Рисунок 6 - Семисегментный индикатор и его код

Как видно на рисунке 6, сегменты индикатора (светодиоды) обозначены латинскими буквами от a до g. Чтобы зажечь сегмент, нужно подать на его вход логическую единицу. При подаче комбинации из нулей и единиц на входы индикатора, высвечивается определенная цифра. Так формируется семисегментный код, представленный в таблице.

Для синтеза составим таблицу, содержащую входной и выходной код дешифратора:

Рисунок 7 - Входной и выходной код дешифратора семисегментной индикации

Зарезервируем также комбинацию для буквы «Е», которая будет выводиться на индикаторы в случае ошибки.

Составим карты Карно для каждого сегмента индикации, посчитаем цену по Квайну и посчитаем количество элементов, которые нужно затратить на построение схемы.

00

01

11

10

00

1

0

1

1

01

0

1

1

1

11

1

1

1

1

10

1

1

1

1

Для «а»:

«1»: y=х4+х2+х1х3+

С=1+1+3+4=9

Элементы: 3И - 1шт., 2И - 1 шт., 4ИЛИ - 1шт.

«0»: y=)(+х4)

С=5+5=10

Элементы: 4ИЛИ-2шт., 2И-1шт.

00

01

11

10

00

1

1

1

1

01

1

0

1

0

11

1

1

1

1

10

1

1

1

1

Для «b»:

«1»: y=х2++

С=1+1+3+3=8

Элементы: 2И - 2 шт., 4ИЛИ - 1шт.

«0»: y=)(+х3)

С=5+5=10

Элементы: 4ИЛИ-2шт., 2И-1шт.

00

01

11

10

00

1

1

1

0

01

1

1

1

1

11

1

1

1

1

10

1

1

1

1

Для «с»:

«1»: y=x2+x1++x3

С=1+1+1+1=4

Элементы: 4ИЛИ - 1шт.

«0»: y=)

С=1+1+1+1=4

Элементы: 4ИЛИ - 1шт.

00

01

11

10

00

1

0

1

1

01

0

1

0

1

10

1

1

1

1

11

1

1

1

1

Для «d»:

«1»: Y=x2+x4+ x4+x1x3+

С=4+4+3+3+1=15

Элементы: 2И - 2шт.; 3И - 2шт.; 6ИЛИ - 1шт.

«0»: y=))

)

С=5+5+5=15

Элементы: 4ИЛИ - 3шт.

00

01

11

10

00

1

0

0

1

01

0

0

0

1

11

1

1

1

1

10

1

0

1

1

Для «e»:

«1»: y=x4+x2x1+x2x4+x2+

С=3+3+3+3+4=16

Элементы: 6ИЛИ - 1шт.; 2И - 4шт.; 3И - 1шт.

«0»: y=(x2+(x2+( x1+)

С=3+4+4=11

Элементы:2ИЛИ-1шт, 3ИЛИ-2шт, 3И-1шт

00

01

11

10

00

1

0

0

0

01

1

1

0

1

11

1

1

1

1

10

1

1

1

1

Для «f»:

«1»: y=x2++x1+x1

С=1+2+2+2+3=10

Элементы: 2И - 3шт.; 4ИЛИ - 1шт.

«0»: y=(x2++x1)(x1++x2)(x2+ С=4+4+4=12

Элементы:3ИЛИ-3шт, 3И-1шт

00

01

11

10

00

0

0

1

1

01

1

1

0

1

11

1

1

1

1

10

1

1

1

1

Для «g»:

«1»: Y=x2+x4+x1+

С=1+3+3+3=10

Элементы: 4ИЛИ - 1шт.; 2И - 3шт.

«0»: Y=(x1+x2+x4)(+x2+ +)

С=5+4=9

Элементы: 3ИЛИ - 1шт.; 4ИЛИ - 1шт.; 2И - 1шт.

Общая цена по Квайну для единичного покрытия:

Общая цена по Квайну для нулевого покрытия:

Общая цена получилась большой, а также задействовано много логических элементов. Существует более выгодный и эффективный вариант построения схемы индикации - использование 3 дешифраторов. Интегральная ТТЛ-схема 74247 представляет собой дешифратор-формирователь для преобразования двоично-десятичного кода в семисегментный.

Рисунок 8 - Счётчик 74247

Для осуществления семисегментной индикации в данном случае потребуется системы перехода из двоичного кода в двоично-десятичный. Для этого запишем таблицу переходов, по которой составим карты Карно для реализации системы перевода двоичной последовательности в двоично-десятичный код.

Таблица 1 - Переход из двоичного кода в ДДК

Входы

Выходы

Q6

Q5

Q4

Q3

Q2

Q1

D7

D6

D5

D4

D3

D2

D1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

0

0

0

0

0

1

0

0

0

0

0

0

1

2

0

0

0

0

1

0

0

0

0

0

0

1

0

3

0

0

0

0

1

1

0

0

0

0

0

1

1

4

0

0

0

1

0

0

0

0

0

0

1

0

0

5

0

0

0

1

0

1

0

0

0

0

1

0

1

6

0

0

0

1

1

0

0

0

0

0

1

1

0

7

0

0

0

1

1

1

0

0

0

0

1

1

1

8

0

0

1

0

0

0

0

0

0

1

0

0

0

9

0

0

1

0

0

1

0

0

0

1

0

0

1

10

0

0

1

0

1

0

0

0

1

0

0

0

0

11

0

0

1

0

1

1

0

0

1

0

0

0

1

12

0

0

1

1

0

0

0

0

1

0

0

1

0

13

0

0

1

1

0

1

0

0

1

0

0

1

1

14

0

0

1

1

1

0

0

0

1

0

1

0

0

15

0

1

0

1

1

1

0

0

1

0

1

0

1

16

0

1

0

0

0

0

0

0

1

0

1

1

0

17

0

1

0

0

0

1

0

0

1

0

1

1

1

18

0

1

0

0

1

0

0

0

1

1

0

0

0

19

0

1

0

0

1

1

0

1

1

1

0

0

1

20

0

1

0

1

0

0

0

1

0

0

0

0

0

21

0

1

0

1

0

1

0

1

0

0

0

0

1

22

0

1

0

1

1

0

0

1

0

0

0

1

0

23

0

1

1

1

1

1

0

1

0

0

0

1

1

24

0

1

1

0

0

0

0

1

0

0

1

0

0

25

0

1

1

0

0

1

0

1

0

0

1

0

1

26

0

1

1

0

1

0

0

1

0

0

1

1

0

27

0

1

1

0

1

1

0

1

0

0

1

1

1

28

0

1

1

1

0

0

0

1

0

1

0

0

0

29

0

1

1

1

0

1

0

1

0

1

0

0

1

30

0

1

1

1

1

0

0

1

1

0

0

0

0

31

0

1

1

1

1

1

0

1

1

0

0

0

1

32

1

0

0

0

0

0

0

1

1

0

0

1

0

33

1

0

0

0

0

1

0

1

1

0

0

1

1

34

1

0

0

0

1

0

0

1

1

0

1

0

0

35

1

0

0

0

1

1

0

1

1

0

1

0

1

36

1

0

0

1

0

0

0

1

1

0

1

1

0

37

1

0

0

1

0

1

0

1

1

0

1

1

1

38

1

0

0

1

1

0

0

1

1

1

0

0

0

39

1

0

1

1

1

1

1

0

1

1

0

0

1

40

1

0

1

0

0

0

1

0

0

0

1

0

0

41

1

0

1

0

0

1

1

0

0

0

1

0

1

42

1

0

1

0

1

0

1

0

0

0

0

1

0

43

1

0

1

0

1

1

1

0

0

0

0

1

1

44

1

0

1

1

0

0

1

0

0

0

1

0

0

45

1

0

1

1

0

1

1

0

0

0

1

0

1

46

1

0

1

1

1

0

1

0

0

0

1

1

0

47

1

1

0

1

1

1

1

0

0

0

1

1

1

48

1

1

0

0

0

0

1

0

0

1

0

0

0

Таблица 2 - Карта Карно для D1

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

1

1

0

0

1

1

0

001

0

1

1

0

0

1

1

0

011

0

1

1

0

0

1

1

0

010

0

1

1

0

0

1

1

0

110

0

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

1

1

0

0

1

1

0

100

0

1

1

0

0

1

1

0

Таблица 3 - Карта Карно для D2

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

1

1

1

1

0

0

001

0

0

0

0

0

0

1

1

011

0

0

1

1

0

0

0

0

010

1

1

0

0

1

1

0

0

110

0

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

0

1

1

1

1

0

0

100

1

1

0

0

0

0

1

1

Таблица 4 - Карта Карно для D3

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

0

0

1

1

1

1

001

0

0

0

0

1

1

0

0

011

1

1

0

0

1

1

0

0

010

1

1

0

0

0

0

0

0

110

0

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

0

0

0

1

1

1

1

100

0

0

1

1

0

0

1

1

Таблица 5 - Карта Карно для D4

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

0

0

0

0

0

0

001

1

1

0

0

0

0

0

0

011

0

0

0

0

0

0

1

1

010

0

0

1

1

0

0

0

0

110

1

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

0

0

0

0

0

0

0

100

0

0

0

0

1

1

0

0

Таблица 6 - Карта Карно для D5

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

0

0

0

0

0

0

001

0

0

1

1

1

1

1

1

011

0

0

0

0

1

1

0

0

010

1

1

1

1

0

0

0

0

110

0

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

0

0

0

0

0

0

0

100

1

1

1

1

1

1

1

1

Таблица 7 - Карта Карно для D6

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

0

0

0

0

0

0

001

0

0

0

0

0

0

0

0

011

1

1

1

1

0

0

1

1

010

0

0

0

0

1

1

1

1

110

0

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

0

0

0

0

0

0

0

0

100

1

1

1

1

1

1

1

1

Таблица 8 - Карта Карно для D7

Q3 Q2 Q1

Q6 Q5 Q4

000

001

011

010

110

111

101

100

000

0

0

0

0

0

0

0

0

001

0

0

0

0

0

0

0

0

011

0

0

0

0

0

0

0

0

010

0

0

0

0

0

0

0

0

110

1

0

0

0

0

0

0

0

111

0

0

0

0

0

0

0

0

101

1

1

1

1

1

1

1

1

100

0

0

0

0

0

0

0

0

На входы A, B, C, D подается информация с соответствующей схемы. LTN - контроль свечения. BIN - гашение. RBIN - подавление нулей.

Сигналы с выходов OA-OF в инвертированном виде подаются на входы всех восьми индикаторов.

При возбуждении сигналом низкого уровня вход LTN активизирует все выходы от a до g. При подаче такого же возбуждающего сигнала на BIN обеспечивается появление высоких уровней на всех выходах, что вызывает сброс прежних показаний индикатора. При возбуждении сигналом низкого уровня входов RBIN сброс индикатора осуществляется только в том случае, если на нем высвечены нули.

5. Расчёты: быстродействие, энергопотребление

Основными характеристиками делителей частоты являются коэффициент деления, быстродействие делителя, потребляемый ток и рабочее напряжение. Быстродействие делителя характеризуется максимальной частотой следования импульсов на входе делителя, при которой сохраняется его работоспособность, и зависит от быстродействия используемых триггеров и способа их соединения и запуска. Потребляемый ток делителя характеризует его экономичность и определяется потребляемым током используемых элементов и их количеством.

Быстродействие характеризуется временем задержки распространения сигналов через логический элемент и определяется из графиков зависимости от времени входного и выходного сигналов (Рисунок 11). Различают время задержки распространения сигнала при включении логического элемента , время задержки сигнала при выключении и среднее время задержки распространения

Рисунок 11 - Определение времени задержки распространения сигнала логического элемента

Средним временем задержки распространения сигнала называют интервал времени, равный полу сумме времён задержки распространения сигнала при включении и выключении логического элемента:

=

Для того чтобы произвести расчёты, нам потребуются значения задержек, тока потребления и рабочего напряжения для каждого элемента.

Таблица 9 - Значения задержки и тока потребления для счётчиков

Логический элемент

Задержка

Ток потребления

Рабочее напряжение

2and

10нс

4мА

4and

12нс

6мА

6and

12нс

8мА

2nand

10нс

8мА

4nand

12нс

8мА

6nand

15нс

8мА

not

10нс

2мА

2or

10нс

12мА

4or

12нс

6мА

dff

15нс

23мА

Счётчик 74247

100нс

64мА

Счётчик 7493

111нс

65мА

Рассчитаем время задержки и ток потребления для каждого блока в отдельности.

Схема делителя напряжения:

Расчёты времени задержки:

Расчёты тока потребления:

Схема СТАРТ-СТОП:

Расчёты времени задержки:

Расчёты тока потребления:

Схема динамической индикации:

Расчёты времени задержки:

Расчёты тока потребления:

Принципиальная схема делителя на счётчиках СИС:

Расчёты времени задержки:

Расчёты тока потребления:

Общие значения времени задержки равны:

Общие значения тока потребления равны:

Из расчётов следует, что данный делитель пригоден к эксплуатации. Время задержки данной схемы приемлемо и составляет всего лишь 631нс.

6. Проектирование счетчика-делителя на интегральных микросхемах

Для снижения трудоемкости при исследовании счетчиков-делителей можно применить интегральные счетчики. В качестве делителя используем счётчик 7493, имеющийся в библиотеке программы MAX+plus II.

Микросхема 7493 - это 4-х разрядный двоичный счетчик. Счетчик 7493 имеет фактически два счетчика: с коэффициентом пересчета два (вход СLKA и выход QA) и с коэффициентом пересчета восемь (вход СLKB и выходы QB, QC, QD). Данный счетчик можно настроить на коэффициент деления, отличный от 2n.

Разобьем коэффициент 48 на наименьшее число множителей (которые можно представить 4мя разрядами в двоичной системе) - 8 и 6. Следовательно, схема счетчика-делителя будет состоять из двух микросхем 7493: первая микросхема представляет собой делитель на двенадцать, вторая - на десять. Набрав соответствующие комбинации на счетчиках и соединив их последовательно, получим делитель частоты с коэффициентом деления 48.

Чтобы предусмотреть запуск и остановку работы схемы по внешним сигналам, включим в схему старт-стопную синхронизацию. Длительность сигналов «СТАРТ» и «СТОП» - произвольная.

Для контроля правильности работы схемы поставлены выходы после каждого счетчика. Схема счетчика-делителя на интегральных микросхемах представлена на Рисунок 8, а его временная диаграмма - на Рисунок 7. На временной диаграмме видно, что в момент сигнала «СТАРТ» схема начинает счет, а в момент сигнала «СТОП» - прекращает его. С момента сигнала «СТАРТ» счетчик начинает счет на первой микросекунде, следовательно, схема сбрасывается в ноль на 10-ой микросекунде, что соответствует коэффициенту деления 48.

Рисунок 13 - Временные диаграммы работы счетчика-делителя на интегральных микросхемах.

7. Проектирование антисбойной схемы

Существуют разные методы построения антисбойных (СТАРТ-СТОП) схем. В данной курсовой работе используем запрет комбинаций, недопустимых для данного счетчика и построение соответствующих комбинационных схем.

Для построения комбинационных схем необходимо составить карты Карно.

В карте Карно обозначим недопустимые комбинации. Так для счетчика на 8 недопустимыми будут 8ч15, а для счетчика на 6 - 6ч15.

D1 - карта Карно для делителя на 8. D2 - карта Карно для делителя на 6

00

01

11

10

00

-

-

-

-

01

-

-

-

-

11

1

1

1

1

10

1

1

1

1

00

01

11

10

00

-

-

-

-

01

-

-

1

1

11

1

1

1

1

10

1

1

1

1

D1 = D2 =

Построим комбинационные схемы (Рисунок 8).

Для их правильной работы необходимо на входы схем подать сигналы после соответствующих счетчиков, а выходы КС подать на логическое «И» сигнала STOP.

Заключение

В ходе выполнения данной курсовой работы были изучены принципы работы счетчика двоичных чисел, а также изучена и освоена методика синтеза счетчиков-делителей. В процессе изучения делителя частоты были построены функциональная и принципиальная схемы. Результатом работы является созданная схема счетчика-делителя с коэффициентом деления 48. Временная диаграмма полученного устройства показывает, что поставленная задача выполнена, то есть счетчик выполняет счет до заданного коэффициента деления. Так же были изучены возможности применения счетчиков на интегральных схемах со средней степенью интеграции (СИС). Этот вариант синтеза будет самым оптимальным, так как он имеет меньше аппаратных затрат, чем делитель на триггерах. Также в ходе работы была спроектирована СТАРТ-СТОП схема, которая предусматривает запуск/останов по внешним сигналам управления.

В ходе расчётов я убедилcя, что данный делитель соответствует ожидаемому результату. Время задержки данной схемы приемлемо и составляет всего лишь 631нс. Потребляемый схемой ток равен 502мА, что входит в пределы нормы для таких схем. Из вышесказанного следует вывод, что спроектированный мною делитель пригоден к эксплуатации.

Список используемой литературы

счетчик синтез делитель схема

1. В Л. Шило «Популярные цифровые микросхемы», Радио и связь, Москва 2015 г.

2. Токхейм Р. «Основы цифровой электроники», Мир, Москва 1988г.

3. Зельдин. Е.А. «Цифровые интегральные микросхемы в информационно-измерительной аппаратуре», Энергоатомиздат., Ленингр. отд-ние, 1986г.

4. Антонов А.П. «Язык описания цифровых устройств AlteraHDL», РадиоСофт, Москва, 2001г.

5. Коваленко А. А., Петропавловский М. Д. Основы микроэлектроники: учебное пособие для студентов высших учебных заведений. Москва: Связь, 2006г.

6. Угрюмов Е. П. Цифровая схемотехника.- СПб.: БХВ - Санкт-Петербург, 2000 г.

Размещено на Allbest.ru


Подобные документы

  • Принципы построения делителя частоты цифровых сигналов, составные части асинхронного и синхронного счетчиков. Разработка и обоснование функциональной схемы устройства. Расчет элементов, выходных параметров схемы, однополярного блока питания для счетчика.

    курсовая работа [1,0 M], добавлен 28.06.2012

  • Построение логической схемы счетчика в среде Max+Plus II с использованием редактора символов, моделирование ее работы с помощью эмулятора работы логических схем. Триггеры со статическим и динамическим управлением. Анализ алгоритма синтеза счетчиков.

    лабораторная работа [128,3 K], добавлен 23.11.2014

  • Цифровой делитель частоты: сущность и предназначение. Разработка функциональной и принципиальной схемы устройства. Определение источника питания для счетчика, гальванической развязки и операционного усилителя. Расчет устройств принципиальной схемы.

    курсовая работа [1,8 M], добавлен 24.09.2012

  • Параметры делителя частоты. Теоретическое обоснование схемного решения. Асинхронный двоичный счетчик в качестве делителя частоты. Упрощенная структурная схема делителя. Ввод коэффициента деления. Составление электрической принципиальной схемы устройства.

    курсовая работа [1,2 M], добавлен 08.01.2013

  • Эквивалентное преобразование электрических схем. Расчёт транзисторных схем. Факторы схемотехнической реализации счетчика. Проектирование JK-, T-триггеров и четырехразрядного счётчика. Исследование схемы счетчика на сложение с последовательным переносом.

    контрольная работа [1,5 M], добавлен 13.06.2012

  • Разработка структурной схемы проектируемого модуля. Описание генератора опорной частоты, подбор делителя частоты, мультиплексора. Общая погрешность коммутации сигналов. Моделирование работы счетчиков–делителей в среде Electronics Workbench 5.12.

    курсовая работа [1,0 M], добавлен 15.06.2011

  • Понятие и функциональные особенности электронных счетчиков, их классификация и разновидности, отличительные особенности: асинхронные и синхронные. Условия использования счетчиков с произвольным коэффициентом счета. Разработка логического устройства.

    курсовая работа [1,2 M], добавлен 21.09.2016

  • Анализ работы двоичного интегрального счетчика и двоично-десятичного дешифратора. Подключение неиспользуемых входов к шине питания, "общему" проводу или другому используемому входу. Анализ временной диаграммы дешифратора. Устройство счетчика Джонсона.

    лабораторная работа [211,1 K], добавлен 18.06.2015

  • Технические требования, назначение, условия эксплуатации и основные параметры счетчиков. Технологические и конструктивные требования. Выбор и обоснование схемы электрической функциональной и принципиальной. Выбор комплектующих. Помехозащищенность схемы.

    курсовая работа [4,1 M], добавлен 25.12.2012

  • Описание узлов 16 разрядного счетчика и изучение принципов работы его элементов. Обзор общих сведений о триггерах. Разработка принципиальной схемы проектируемого устройства с использованием моделей компьютерной программы Electron ics Workbench 5.12.

    контрольная работа [1,1 M], добавлен 25.05.2014

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.