Разработка универсальной микропроцессорной системы сбора сигналов с заданными параметрами

Процесс производства стали, способы детектирования шлака. Обзор периферийных устройств контроллера, определение режимов их работы. Разработка программного обеспечения для модуля, позволяющего применять данную плату для любой системы детектирования шлака.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид дипломная работа
Язык русский
Дата добавления 07.07.2012
Размер файла 3,3 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Рисунок 27 - Функциональная схема работы контроллера ПДП

В контроллере STM32F103RB два независимых контроллера DMA -- DMA1 и DMA2. И каждый из них имеет несколько независимых каналов: DMA1 содержит 7 каналов, а DMA2 -- 5 каналов. Функциональная схема работы одного канала контроллера ПДП представлена на рисунке 28.

Рисунок 28 - Функциональная схема работы одного канала контроллера ПДП

К каждому каналу можно подключить одно из периферийных устройств, закрепленных за этим каналом.

2.5.2 Описание регистров ПДП

Для настройки контроллера DMA предусмотрено две категории регистров

· Регистры настройки и управления DMA в целом ;

· Регистры настройки и управления каждого канала;

Для настройки и управления DMA в целом предназначены регистры :

· ISR - регистр флагов прерывания от каналов;

· IFCR - регистр очистки флагов прерываний.

Для каждого канала определены следующие регистры:

· CMAR -- содержит указатель на место в памяти, куда будут записаны (или прочитаны) данные;

· CPAR - содержит указатель на периферийное устройство, которое подключено к каналу;

· CNDTR -- содержит количество байт, которые необходимо передавать;

· CCR -- управляет режимом работы канала.

Регистр ISR

Структура регистра ISR представлена на рисунке 29.

Рисунок 29 - Структура регистра ISR

· TEIFx - флаг ошибки передачи;

· HTIFx -- флаг половины передачи;

· TCIFx -- флаг окончания передачи;

· GIFx -- флаг прерывания.

Регистр IFCR

Структура регистра IFCR представлена на рисунке 30.

Рисунок 30 - Структура регистра IFCR

· TEIFx - очистка флага ошибки передачи;

· HTIFx -- очистка флага половины передачи;

· TCIFx -- очистка флага окончания передачи;

· GIFx -- очистка флага прерывания.

Регистр CCRx

Структура регистра CCRx представлена на рисунке 31.

Рисунок 31 - Структура регистра CCRx

· MEM2MEM - Режим обмена память-память (0 - отключен, 1 - включен);

· PL -- Уровень приоритета канала (00 - низкий, 01 - средний, 10 - высокий, 11 - очень высокий);

· MSIZE -- Размер элемента данных в памяти (0 - 8 бит, 1 - 16 бит, 2 - 32 бита);

· PSIZE -- Размер элемента данных в периферии (0 - 8 бит, 1 - 16 бит, 2 - 32 бита);

· MINC -- Режим инкремента указателя в памяти (0 - отключен, 1 - включен);

· PINC -- Режим инкремента указателя в периферии (0 - отключен, 1 - включен);

· CIRC -- Режим цикличности (0 - отключен, 1 - включен);

· DIR -- Направления обмена данными (0 - чтение из периферии, 1 - из памяти);

· TEIE -- Разрешение прерывания при возникновении ошибки при обмене;

· HTIE -- Разрешение прерывания по завершении половины обмена;

· TCIE -- Разрешение прерывания по завершении обмена (1 - разрешен, 0 -- запрещен);

· EN -- Разрешение канала (0 -- выключен, 1 -- включен).

Регистр CNDTRx

Структура регистра CNDTRx представлена на рисунке 32.

Рисунок 32 - Структура регистра CNDTRx

Данный регистр содержит количество байт, которое необходимо передавать.

Регистр CPARx

Структура регистра CPARx представлена на рисунке 33.

Рисунок 33 - Структура регистра CPARx

Данный регистр содержит указатель на периферийное устройство, которое подключено к каналу.

Регистр CMARx

Структура регистра CMARx представлена на рисунке 34.

Рисунок 34 - Структура регистра CMARx

Данный регистр содержит указатель на место в памяти, куда будут записаны (или прочитаны) данные.

2.5.3 Настройка ПДП

Сконфигурируем блок ПДП в соответствии с поставленной задачей:

1. Подаем тактирование на ПДП. Для этого устанавливаем бит DMA1EN регистра AHBENR в «1».

RCC->AHBENR |= RCC_AHBENR_DMA1EN;

2. Задаем адрес периферии, откуда будем считывать данные. Для этого в регистр CPAR записываем адрес регистра DR, в котором хранятся значения оцифрованного сигнала после преобразования.

DMA1_Channel1->CPAR = (uint32_t) &ADC1->DR;

3. Задаем адрес памяти, куда будем записывать данные. Для этого в регистр CMAR записываем адрес первого бита буфера, в который будут собираться данные для отправки.

DMA1_Channel1->CMAR = (uint32_t) &BuffRxd[0];

4. Задаем направление работы ПДП, в нашем случае из периферии в память. Для этого устанавливаем бит DIR регистра CCR4 в «0».

DMA1_Channel1->CCR &= ~DMA_CCR4_DIR;

5. Задаем размер передаваемых данных из периферии, в нашем случае 16 бит. Для этого устанавливаем бит PSIZE_0 регистра CCR4 в «1».

DMA1_Channel1->CCR |= DMA_CCR4_PSIZE_0;

6. Указываем, нужно ли инкрементировать указатель адреса в периферии, в нашем случае этого делать не нужно. Для этого устанавливаем бит PINC регистра CCR4 в «1».

DMA1_Channel1->CCR = ~DMA_CCR4_PINC;

7. Задаем размер передаваемых данных в память, в нашем случае 16 бит. Для этого устанавливаем бит MSIZE_0 регистра CCR4 в «1».

DMA1_Channel4->CCR |= DMA_CCR4_MSIZE_0;

8. Указываем, нужно ли инкрементировать указатель адреса в памяти, в нашем случае это нужно для заполнения буфера приема данных. Для этого устанавливаем бит MINC регистра CCR4 в «1».

DMA1_Channel4->CCR |= DMA_CCR4_MINC;

9. Указываем, что нужно включить режим циклической передачи. Для этого устанавливаем бит CIRC регистра CCR1 в «1».

DMA1_Channel1->CCR |= DMA_CCR1_CIRC;

10. Включаем ПДП. Для этого устанавливаем бит EN регистра CCR1 в «1».

DMA1_Channel1->CCR |= DMA_CCR1_EN;

2.6 Таймер

2.6.1 Описание работы таймера

В данной части я опишу только те принципы работы и регистры, которые использовались в данной работе, так как описание таймеров занимает пятую часть документации, и описывать все здесь не имеет смысла.

Базовый модуль состоит из счетного регистра (CNT), делителя с управляющим регистром PSC и регистра автоматической загрузки ARR. Эти регистры доступны из программы. Но, помимо их, базовый модуль содержит дополнительные регистры, которые недоступны программно. Функциональная схема работы таймера представлена на рисунке 35.

Рисунок 35 - Функциональная схема работы таймера

16-разрядный счетный регистр CNT - это основа таймера. Он может считать вверх (сложение) и вниз (вычитание). Направление счета задается разрядом DIR управляющего регистра CR1. Значение счетного регистра можно программно прочитать или изменить в любой момент времени.

Счетный регистр 16-разрядный, поэтому максимальное значение до которого (а также с которого) он может считать равно 65535. Но это значение можно изменять в меньшую сторону. Для этого предназначен регистр ARR, благодаря которому счетный регистр является счетчиком с переменным коэффициентом деления.

Тактирование счетного регистра

Таймер может тактироваться от разных источников. На выходе схемы выбора источника тактирования получаем сигнал CK_PSC, который и является тактовым сигналом таймера, но он подается на счетный регистр не напрямую, а через предварительный делитель с переменным коэффициентом деления (1…65536). Сигнал, подаваемый непосредственно на вход счетного регистра, называется CK_CNT.

Коэффициент деления предварительного делителя задается управляющим регистром PSC.

Управление подачей тактовых импульсов на вход предварительного делителя (а также включение/выключение таймера) осуществляется с помощью разряда CEN регистра CR1.

Переполнение счетного регистра и событие обновления UEV

Перед началом счета в регистр CNT загружается его начальное значение. При счете вверх это значение равно нулю, а при счете вниз - содержимому регистра ARR. От каждого тактового импульса содержимое CNT увеличивается на 1 (при счете вниз уменьшается на 1), пока не достигнет своего максимального значения, которое определяется содержимым регистра ARR (при счете вниз - пока не достигнет своего минимального значения, т.е. нуля).

С приходом следующего тактового импульса произойдет сброс счетного регистра в ноль (при счете вниз в него автоматически будет записано значение регистра ARR). Этот переход и называется переполнением счетного регистра, в результате которого может формироваться событие обновления счетного регистра (UEV).

Имеется возможность запретить формирование этого сигнала. Делается это с помощью разряда UDIS регистра CR1: если он равен нулю - генерирование события обновления разрешено, единице - запрещено.

Помимо формирования сигнала обновления счетным регистром, его можно формировать программно (имитация переполнения счетного регистра). Для этого предназначен разряд UG регистра EGR. После записи в него единицы, произойдет перезагрузка счетного регистра и формирование сигнала обновления (если он разрешен разрядом UDIS).

Событие обновление используется для:

· генерирования запроса прерывания от таймера;

· генерирования запроса DMA от таймера;

· запись нового значения регистров ARR и PSC;

· управления другим таймером

Приведенная на рисунке 36 диаграмма иллюстрирует формирования события обновления по переполнению счетного регистра, который работает в режиме счета вверх. В регистре ARR содержится 0Ч36.

Рисунок 36 - Диаграмма формирования события по переполнению счетного регистра

Обновление регистров ARR и PSC.

Запись нового значения в эти регистры имеет некоторые особенности, о которых необходимо помнить.

Если записать новое значение в регистр PSC, то реально делитель будет его использовать только после очередного события обновления UEV. Приведенная на рисунке 37 диаграмма иллюстрирует это.

Рисунок 37 - Диаграмма записи нового значения в регистр PSC

Как видим, после записи нового значения в PSC, буфер делителя (который задает коэффициент деления) получит его только после очередного события обновления. Если событие обновления запрещено установкой разряда UDIS, то обновление буфера делителя не произойдет даже после переполнения счетчика.

Подобный механизм используется и для записи нового значения в регистр ARR. После записи нового значения в этот регистр, оно первоначально помещается в регистр предварительной загрузки, а после очередного события обновления счетного регистра это значение будет переписано в регистр ARR. Это показано на рисунке 38.

Рисунок 38 - Диаграмма записи нового значения в регистр ARR

Чтобы не дожидаться обновления регистра CNT, можно сгенерировать событие обновления “вручную”, записав единицу в разряд UG. После этого произойдет обновление буфера делителя и регистра ARR новыми значениями и обновление новым значением из ARR счетного регистра.

Для регистра ARR можно обойти описанный выше механизм. Для этого предназначен разряд ARPE регистра CR1. Если в этом разряде “0”, то запись нового значения в регистр ARR происходит сразу, не дожидаясь события обновления. Диаграмма записи нового значения в регистра ARR, путем сброса бита ARPE представлена на рисунке 39.

Рисунок 39 - Диаграмма записи нового значения в регистра ARR, путем сброса бита ARPE

Режим одного импульса (OPM)

Этот режим включается установкой разряда OPM регистра CR1 в единицу. Если он включен, то после формирования события обновления будет сброшен разряд CEN, благодаря чему работа таймера будет остановлена.

2.6.2 Описание регистров таймера

Регистр CR1

Структура регистра CR1 представлена на рисунке 40.

Рисунок 40 - Структура регистра CR1

· CKD[1:0] - Битовое поле определяющее соотношение между частотой тактирования и тактовыми импульсами;

· ARPE -- Автоматическая перезагрузка регистра ARR;

· CMS[1:0] -- Указатель режимов счета таймера;

· DIR -- Указатель направления счета таймера;

· OPM --Режим одного импульса;

· URS -- Флаг указывающий на источник UEV;

· UDIS -- Флаг UEV события;

· CEN -- Бит запуска счета;

Регистр DIER

Структура регистра DIER представлена на рисунке 41.

Рисунок 41 - Структура регистра DIER

Данный регистр разрешает\запрещает прерывания и ПДП запросы от разных источников.

Регистр CNT

Структура регистра CNT представлена на рисунке 42.

Рисунок 42 - Структура регистра CNT

Данный регистр содержит текущее значение счетчика.

Регистр PSC

Структура регистра PSC представлена на рисунке 43.

Рисунок 43 - Структура регистра PSC

Данный регистр содержит значение предделителя таймера

Регистр ARR

Структура регистра ARR представлена на рисунке 44.

Рисунок 44 - Структура регистра ARR

Данный регистр содержит значение, при котором счетчик обнулится, либо (при счете вниз) значение с которого начинается отсчет.

2.6.3 Настройка таймера

Сконфигурируем таймер в соответствии с поставленной задачей:

1. Подаем тактирование на таймер. Для этого устанавливаем бит TIM1EN регистра APB1ENR в «1».

RCC->APB1ENR |= RCC_APB1ENR_TIM1EN;

2. Задаем максимальное значение, до которого будет считать счетчик, в нашем случае берем значение 1, так как нужную частоту обеспечим предделителем. Для этого записываем в регистр ARR значение 1.

TIM1->ARR = 1;

3. Задаем значение предделителя. Нужно обеспечить частоту опроса АЦП 10 кГц. По умолчанию таймер тактируется от внутреннего генератора, для нашего процессора частота равняется 120 МГц. Чтобы обеспечить заданную частоту нужно записать значение 12000, однако из-за того что отсчет начинается с нуля, а не с единицы, запишем 12000-1.

TIM1->PSC = 12000-1;

4. Запускаем ШИМ генератор. Для этого устанавливаем биты OC1M_1, OC1M_2 регистра CCMR1 и бит OIS1 регистра CR2 в «1».

TIM1->CCMR1 |= TIM_CCMR1_OC1M_1 | TIM_CCMR1_OC1M_2;

TIM1->CR2 |= TIM_CR2_OIS1;

5. Разрешим прерывание по обновлению счетчика. Для этого устанавливаем бит UIE регистра DIER в «1».

TIM1->DIER |= TIM_DIER_UIE;

6. Запускаем счет таймера. Для этого устанавливаем бит CEN регистра CR1 в «1».

TIM1->CR1 |= TIM_CR1_CEN;

2.6.4 Обработчик прерываний таймера

Таймер задает частоту опроса каналов АЦП. По переполнению счетчика таймера срабатывает прерывание, которое запускает преобразование каналов регулярной группы.

Реализация в программном коде:

1. Сбрасываем флаг прерывания. Для этого устанавливаем бит UIF регистра SR в «0».

TIM1->SR &= ~TIM_SR_UIF;

2. Запускаем преобразование каналов регулярной группы. Для этого устанавливаем бит SWSTART регистра CR2 в «1».

ADC1->CR2 |= ADC_CR2_SWSTART;

2.7 UDP стек

2.7.1Настройка UDP стека

Для экономии времени был взят готовый TCP стек - LwIP. В процессе его инициализации, формирования и отправки пакета использовались библиотечные функции.

Реализация в программном коде:

1. Инициализируем Ethernet. Для этого используем библиотечную функцию.

ETH_BSP_Config();

2. Инициализируем TCP стек. Для этого используем библиотечную функцию.

LwIP_Init();

3. Так же нужно периодически рассылать широковещательные пакеты и отвечать на ARP и ICMP запросы. Для этого используем библиотечные функции.

if (ETH_CheckFrameReceived())

{

LwIP_Pkt_Handle();

}

LwIP_Periodic_Handle(LocalTime);

2.8 Основное тело программы

В основном теле программы инициализируем все периферийные устройства, глобально разрешаем прерывания, инициализируем стек, объявляем буфер данных, разрешаем прерывания от таймера и АЦП, выставляем приоритеты прерываний и запускаем функции ответа на ARP и ICMP запросы. Полный текст программы представлен в приложении А.

Реализация в программном коде:

int main(void)

{

unsigned char BuffTxd[1280]

ADC_init();

TIM_init();

DMA_init();

ETH_BSP_Config();

LwIP_Init();

enable_irq();

NVIC_EnableIRQ(TIM1_IRQn);

NVIC_SetPriority(TIM1_IRQn, 1);

NVIC_EnableIRQ(ADC1_IRQn);

while (1)

{

if (ETH_CheckFrameReceived())

{

LwIP_Pkt_Handle();

}

LwIP_Periodic_Handle(LocalTime);

}

}

2.9 Краткая инструкция по применению и настройке

Настройка производится путем изменения соответствующих значений в файле заголовков main.h.

Для настройки IP адреса устройства используются строки #define IP_ADDR0; #define IP_ADDR1; #define IP_ADDR2; #define IP_ADDR3. Соответственно первый октет IP адреса задается строкой define IP_ADDR0 «значение», второй октет строкой #define IP_ADDR1 «значение» и так далее.

Например для задания IP адреса 192.168.0.10 должна быть запись вида:

#define IP_ADDR0 192

#define IP_ADDR1 168

#define IP_ADDR2 0

#define IP_ADDR3 10

Аналогичным образом задаются и остальные параметры.

Маска подсети:

#define NETMASK_ADDR0 «значение»

#define NETMASK_ADDR1 «значение»

#define NETMASK_ADDR2 «значение»

#define NETMASK_ADDR3 «значение»

Основной шлюз:

#define GW_ADDR0 «значение»

#define GW_ADDR1 «значение»

#define GW_ADDR2 «значение»

#define GW_ADDR3 «значение»

MAC адрес устройства:

#define MAC_ADDR0 «значение»

#define MAC_ADDR1 «значение»

#define MAC_ADDR2 «значение»

#define MAC_ADDR3 «значение»

#define MAC_ADDR4 «значение»

#define MAC_ADDR5 «значение»

IP адрес назначения (куда отправлять пакеты):

#define DEST_IP_ADDR0 «значение»

#define DEST_IP_ADDR1 «значение»

#define DEST_IP_ADDR2 «значение»

#define DEST_IP_ADDR3 «значение»

UDP порт назначения (на какой порт отправлять пакеты):

#define UDP_SERVER_PORT «значение»

ГЛАВА 3. БЕЗОПАСНОСТЬ И ЭКОЛОГИЧНОСТЬ

3.1 Требования к помещениям при работе за компьютером

Помещения должны иметь естественное и искусственное освещение. Расположение рабочих мест за мониторами для взрослых пользователей в подвальных помещениях не допускается.

Площадь на одно рабочее место с компьютером для взрослых пользователей должна составлять не менее 6 м2, а объем не менее -20 м3 в соответствии с СанПиН 2.2.2/2.4.1340-03.

Помещения с компьютерами должны оборудоваться системами отопления, кондиционирования воздуха или эффективной приточно-вытяжной вентиляцией.

Для внутренней отделки интерьера помещений с компьютерами должны использоваться диффузно-отражающие материалы с коэффициентом отражения для потолка -- 0,7-0,8; для стен -- 0,5-0,6; для пола -- 0,3-0,5.

Поверхность пола в помещениях эксплуатации компьютеров должна быть ровной, без выбоин, нескользкой, удобной для очистки и влажной уборки, обладать антистатическими свойствами.

В помещении должны находиться аптечка первой медицинской помощи, углекислотный огнетушитель для тушения пожара.

3.2 Требования к микроклимату, ионному составу и концентрации вредных химических веществ в воздухе помещений

На рабочих местах пользователей персональных компьютеров должны обеспечиваться оптимальные параметры микроклимата в соответствии с СанПин 2.2.4.548-96. Согласно этому документу для категории тяжести работ 1а температура воздуха должна быть в холодный период года не более 22-24оС, в теплый период года 20-25оС. Относительная влажность должна составлять 40-60%, скорость движения воздуха -- 0,1 м/с. Для поддержания оптимальных значений микроклимата используется система отопления и кондиционирования воздуха. Для повышения влажности воздуха в помещении следует применять увлажнители воздуха с дистиллированной или кипяченой питьевой водой.

Ионный состав воздуха должен содержать следующее количество отрицательных и положительных аэройонов; минимально необходимый уровень 600 и 400 ионов в 1 см3 воздуха; оптимальный уровень 3 000-5 000 и 1 500-3 000 ионов в 1 см3 воздуха; максимально допустимый -- 50 000 ионов в 1 см3 воздуха.

3.3 Требования к освещению помещений и рабочих мест

В компьютерных залах должно быть естественное и искусственное освещение. Естественное освещение обеспечивается через оконные проемы с коэффициентом естественного освещения КЕО не ниже 1,2% в зонах с устойчивым снежным покровом и не ниже 1,5% на остальной территории. Световой поток из оконного проема должен падать на рабочее место оператора с левой стороны.

Искусственное освещение в помещениях эксплуатации компьютеров должно осуществляться системой общего равномерного освещения.

Освещенность на поверхности стола в зоне размещения документа должна быть 300-500 лк. Допускается установка светильников местного освещения для подсветки документов. Местное освещение не должно создавать бликов на поверхности экрана и увеличивать освещенность экрана более 300 лк. Прямую блесткость от источников освещения следует ограничить. Яркость светящихся поверхностей (окна, светильники), находящихся в поле зрения, должна быть не более 200 кд/м2.

Отраженная блесткость на рабочих поверхностях ограничивается за счет правильного выбора светильника и расположения рабочих мест по отношению к естественному источнику света. Яркость бликов на экране монитора не должна превышать 40 кд/м2. Показатель ослепленности для источников общего искусственного освещения в помещениях должен быть не более 20, показатель дискомфорта в административно-общественных помещениях не более 40. Соотношение яркости между рабочими поверхностями не должно превышать 3:1 -- 5:1, а между рабочими поверхностями и поверхностями стен и оборудования 10:1.

Для искусственного освещения помещений с персональными компьютерами следует применять светильники типа ЛПО36 с зеркализованными решетками, укомплектованные высокочастотными пускорегулирующими аппаратами. Допускается применять светильники прямого света, преимущественно отраженного света типа ЛПО13, ЛПО5, ЛСО4, ЛПО34, ЛПО31 с люминесцентными лампами типа ЛБ. Допускается применение светильников местного освещения с лампами накаливания. Светильники должны располагаться в виде сплошных или прерывистых линий сбоку от рабочих мест параллельно линии зрения пользователя при разном расположении компьютеров. При периметральном расположении -- линии светильников должны располагаться локализовано над рабочим столом ближе к его переднему краю, обращенному к оператору. Защитный угол светильников должен быть не менее 40 градусов. Светильники местного освещения должны иметь не просвечивающийся отражатель с защитным углом не менее 40 градусов.

Для обеспечения нормативных значений освещенности в помещениях следует проводить чистку стекол оконных проемов и светильников не реже двух раз в год и проводить своевременную замену перегоревших ламп.

3.4 Требования к шуму и вибрации в помещениях

Шум на рабочих местах пользователей персональных компьютеров не должны превышать значений, установленных СанПиН 2.2.4/2.1.8.562-96 и составляют не более 50 дБА. На рабочих местах в помещениях для размещения шумных агрегатов эквивалентный уровень звука не должен превышать 75 дБА, а уровень вибрации в помещениях допустимых значений по СН 2.2.4/2.1.8.566-96 категория 3, тип «в».

Снизить шум в помещениях можно использованием звукопоглощающих материалов с максимальными коэффициентами звукопоглощения в области частот 63-8000 Гц для отделки стен и потолка помещений. Дополнительный звукопоглощающий эффект создают однотонные занавески из плотной ткани, повешенные в складку на расстоянии 15-20 см от ограждения. Ширина занавески должна быть в 2 раза больше ширины окна.

3.5 Требования к организации и оборудованию рабочих мест

Рабочие места с персональными компьютерами по отношению к световым проемам должны располагаться так, чтобы естественный свет падал сбоку, желательно слева.

Схемы размещения рабочих мест с персональными компьютерами должны учитывать расстояния между рабочими столами с мониторами: расстояние между боковыми поверхностями мониторов не менее 1,2 м, а расстояние между экраном монитора и тыльной частью другого монитора не менее 2,0 м.

Рабочий стол может быть любой конструкции, отвечающей современным требованиям эргономики и позволяющей удобно разместить на рабочей поверхности оборудование с учетом его количества, размеров и характера выполняемой работы. Целесообразно применение столов, имеющих отдельную от основной столешницы специальную рабочую поверхность для размещения клавиатуры. Используются рабочие столы с регулируемой и нерегулируемой высотой рабочей поверхности. При отсутствии регулировки высота стола должна быть в пределах от 680 до 800 мм.

Глубина рабочей поверхности стола должна составлять 800 мм (допускаемая не менее 600 мм), ширина -- соответственно 1 600 мм и 1 200 мм. Рабочая поверхность стола не должна иметь острых углов и краев, иметь матовую или полуматовую фактуру.

Рабочий стол должен иметь пространство для ног высотой не менее 600 мм, шириной -- не менее 500 мм, глубиной на уровне колен -- не менее 450 мм и на уровне вытянутых ног -- не менее 650 мм.

Быстрое и точное считывание информации обеспечивается при расположении плоскости экрана ниже уровня глаз пользователя, предпочтительно перпендикулярно к нормальной линии взгляда (нормальная линия взгляда 15 градусов вниз от горизонтали).

Клавиатура должна располагаться на поверхности стола на расстоянии 100-300 мм от края, обращенного к пользователю.

Для удобства считывания информации с документов применяются подвижные подставки (пюпитры), размеры которых по длине и ширине соответствуют размерам устанавливаемых на них документов. Пюпитр размещается в одной плоскости и на одной высоте с экраном.

Для обеспечения физиологически рациональной рабочей позы, создания условий для ее изменения в течение рабочего дня применяются подъемно-поворотные рабочие стулья с сиденьем и спинкой, регулируемые по высоте и углам наклона, а также расстоянию спинки от переднего края сидения.

Конструкция стула должна обеспечивать:

§ ширину и глубину поверхности сиденья не менее 400 мм;

§ поверхность сиденья с закругленным передним краем;

§ регулировку высоты поверхности сиденья в пределах 400-550 мм и углом наклона вперед до 15 градусов и назад до 5 градусов.;

§ высоту опорной поверхности спинки 300±20 мм, ширину -- не менее 380 мм и радиус кривизны горизонтальной плоскости 400 мм;

§ угол наклона спинки в вертикальной плоскости в пределах 0±30 градусов;

§ регулировку расстояния спинки от переднего края сидения в пределах 260-400 мм;

§ стационарные или съемные подлокотники длиной не менее 250 мм и шириной 50-70 мм;

§ регулировку подлокотников по высоте над сиденьем в пределах 230±30 мм и внутреннего расстояния между подлокотниками в пределах 350-500 мм.;

§ поверхность сиденья, спинки и подлокотников должна быть полумягкой, с нескользящим не электризующимся, воздухонепроницаемым покрытием, легко очищаемым от загрязнения.

Рабочее место должно быть оборудовано подставкой для ног, имеющей ширину не менее 300 мм, глубину не менее 400 мм, регулировку по высоте в пределах до 150 мм и по углу наклона опорной поверхности подставки до 20 град. Поверхность подставки должна быть рифленой и иметь по переднему краю бортик высотой 10 мм.

3.6 Режим труда и отдыха при работе с компьютером

Режим труда и отдыха предусматривает соблюдение определенной длительности непрерывной работы на ПК и перерывов, регламентированных с учетом продолжительности рабочей смены, видов и категории трудовой деятельности.

Виды трудовой деятельности на ПК разделяются на 3 группы: группа А -- работа по считыванию информации с экрана с предварительным запросом; группа Б -- работа по вводу информации; группа В -- творческая работа в режиме диалога с ПК .

Если в течение рабочей смены пользователь выполняет разные виды работ, то его деятельность относят к той группе работ, на выполнение которой тратится не менее 50% времени рабочей смены.

Категории тяжести и напряженности работы на ПК определяются уровнем нагрузки за рабочую смену: для группы А -- по суммарному числу считываемых знаков; для группы Б -- по суммарному числу считываемых или вводимых знаков; для группы В -- по суммарному времени непосредственной работы на ПК. В таблице приведены категории тяжести и напряженности работ в зависимости от уровня нагрузки за рабочую смену. Виды категорий трудовой деятельности представлены в таблице 1.

Таблица 1 - Виды категорий трудовой деятельности

Категория работы по тяжести и напряженности

Уровень нагрузки за рабочую смену при видах работы на ПК

Группа А Количество знаков

Группа Б Количество знаков

Группа В Время работы, ч

I

II

III

До 20000

До 40000

До 60000

До 15000

До 30000

До 40000

До 2,0

До 4,0

До 6,0

Количество и длительность регламентированных перерывов, их распределение в течение рабочей смены устанавливается в зависимости от категории работ на ПК и продолжительности рабочей смены.

При 8-часовой рабочей смене и работе на ПК регламентированные перерывы следует устанавливать:

§ для первой категории работ через 2 часа от начала смены и через 2 часа после обеденного перерыва продолжительностью 15 минут каждый;

§ для второй категории работ -- через 2 часа от начала рабочей смены и через 1,5-2,0 часа после обеденного перерыва продолжительностью 15 минут каждый или продолжительностью 10 минут через каждый час работы;

§ для третьей категории работ -- через 1,5- 2,0 часа от начала рабочей смены и через 1,5-2,0 часа после обеденного перерыва продолжительностью 20 минут каждый или продолжительностью 15 минут через каждый час работы.

При 12-часовой рабочей смене регламентированные перерывы должны устанавливаться в первые 8 часов работы аналогично перерывам при 8-часовой рабочей смене, а в течение последних 4 часов работы, независимо от категории и вида работ, каждый час продолжительностью 15 минут.

Продолжительность непрерывной работы на ПК без регламентированного перерыва не должна превышать 2 часа.

При работе на ПК в ночную смену продолжительность регламентированных перерывов увеличивается на 60 минут независимо от категории и вида трудовой деятельности.

Эффективными являются нерегламентированные перерывы длительностью 1-3 минуты.

Регламентированные перерывы целесообразно использовать для выполнения комплекса упражнений и гимнастики для глаз, пальцев рук, а также массажа. Комплексы упражнений целесообразно менять через 2-3 недели.

Пользователям ПК, выполняющим работу с высоким уровнем напряженности, показана психологическая разгрузка во время регламентированных перерывов и в конце рабочего дня в специально оборудованных помещениях (комнатах психологической разгрузки).

Медико-профилактические и оздоровительные мероприятия. Все профессиональные пользователи ПК должны проходить обязательные предварительные медицинские осмотры при поступлении на работу, периодические медицинские осмотры с обязательным участием терапевта, невропатолога и окулиста, а также проведением общего анализа крови и ЭКГ.

Не допускаются к работе на ПК женщины со времени установления беременности и в период кормления грудью.

Близорукость, дальнозоркость и другие нарушения рефракции должны быть полностью корригированы очками. Для работы должны использоваться очки, подобранные с учетом рабочего расстояния от глаз до экрана дисплея. При более серьезных нарушениях состояния зрения вопрос о возможности работы на ПК решается врачом-офтальмологом.

Досуг рекомендуется использовать для пассивного и активного отдыха (занятия на тренажерах, плавание, езда на велосипеде, бег, игра в теннис, футбол, лыжи, аэробика, прогулки по парку, лесу, экскурсии, прослушивание музыки и т.п.). Дважды в год (весной и поздней осенью) рекомендуется проводить курс витаминотерапии в течение месяца. Следует отказаться от курения. Категорически должно быть запрещено курение на рабочих местах и в помещениях с ПК.

3.7 Обеспечение электробезопасности и пожарной безопасности на рабочем месте

На рабочем месте пользователя размещены дисплей, клавиатура и системный блок. При включении дисплея на электронно-лучевой трубке создается высокое напряжение в несколько киловольт. Поэтому запрещается прикасаться к тыльной стороне дисплея, вытирать пыль с компьютера при его включенном состоянии, работать на компьютере во влажной одежде и влажными руками.

Перед началом работы следует убедиться в отсутствии свешивающихся со стола или висящих под столом проводов электропитания, в целостности вилки и провода электропитания, в отсутствии видимых повреждений аппаратуры и рабочей мебели, в отсутствии повреждений и наличии заземления приэкранного фильтра.

Токи статического электричества, наведенные в процессе работы компьютера на корпусах монитора, системного блока и клавиатуры, могут приводить к разрядам при прикосновении к этим элементам. Такие разряды опасности для человека не представляют, но могут привести к выходу из строя компьютера. Для снижения величин токов статического электричества используются нейтрализаторы, местное и общее увлажнение воздуха, использование покрытия полов с антистатической пропиткой.

Для предотвращения поражений электрическим током при работе с компьютером следует установить дополнительные оградительные устройства, обеспечивающие недоступность токоведущих частей для прикосновения; с целью уменьшения опасности можно использовать разделительный трансформатор для развязки с основной сетью, и обязательным во всех случаях является наличие защитного заземления или зануления (защитного отключения) электрооборудования. Для качественной работы компьютеров создается отдельный заземляющий контур.

Пожарная безопасность обеспечивается системой предотвращения пожара и системой пожарной защиты. Во всех служебных помещениях обязательно должен быть «План эвакуации людей при пожаре», регламентирующий действия персонала в случае возникновения очага возгорания и указывающий места расположения пожарной техники.

Пожары в ВЦ представляют особую опасность, так как сопряжены с большими материальными потерями. Характерная особенность ВЦ -- небольшие площади помещений. Как известно, пожар может возникнуть при взаимодействии горючих веществ, окислителя и источников зажигания. В помещениях ВЦ присутствуют все три основные фактора, необходимые для возникновения пожара.

Горючими компонентами на ВЦ являются: строительные материалы для акустической и эстетической отделки помещений, перегородки, двери, полы, перфокарты и перфоленты, изоляция кабелей и др.

Источниками зажигания в ВЦ могут быть электрические схемы от ЭВМ, приборы, применяемые для технического обслуживания, устройства электропитания, кондиционирования воздуха, где в результате различных нарушений образуются перегретые элементы, электрические искры и дуги, способные вызвать загорания горючих материалов.

В современных ЭВМ очень высокая плотность размещения элементов электронных схем. В непосредственной близости друг от друга располагаются соединительные провода, кабели. При протекании по ним электрического тока выделяется значительное количество теплоты. При этом возможно оплавление изоляции. Для отвода избыточной теплоты от ЭВМ служат системы вентиляции и кондиционирования воздуха. При постоянном действии эти системы представляют собой дополнительную пожарную опасность.

Для большинства помещений ВЦ установлена категория пожарной опасности -В по НПБ 105-03.

ГЛАВА 4. АНАЛИЗ ТЕХНИКО-ЭКОНОМИЧЕСКИХ ПОКАЗАТЕЛЕЙ И ОБОСНОВАНИЕ ЭКОНОМИЧЕСКОЙ ЦЕЛЕСООБРАЗНОСТИ ПРИНЯТЫХ В ПРОЕКТЕ РЕШЕНИЙ

4.1 Расчет материальных затрат на необходимое дополнительное оборудование

Расчеты затрат на покупные материалы и оборудование представлены в таблице 2.

Таблица 2 - Материальные затраты

Наименование материального ресурса

Ед. измерения

Цена за единицу, руб.

Кол-во

Затраты, руб.

НДС

Сумма без НДС

Модуль TE-STM32F207

шт.

3591,58

1

3591,58

646,48

2945,1

Витая пара U/FTP, 4 пары, Cat.6

м.

32,13

305

9799,65

1763,93

8035,72

Итого

13391,23

2410,41

10980,82

Затраты на все материальные ресурсы с учетом транспортных расходов рассчитываются по формуле:

,

где: - общие материальные ресурсы;

- затраты на закупаемое оборудование и материалы;

- коэффициент транспортно-заготовительных расходов, принимается в пределах 15% от стоимости материалов;

4.2 Расчет трудозатрат

Определим этапы и исполнителей в процессе внедрения системы. Сведем данные в таблицу 3.

Таблица 3 - Трудозатраты

Наименование этапов

Исполнители

Трудоёмкость, чел/дни

1

Постановка задачи

руководитель

1

2

Разработка схемы прокладки кабеля

инженер

2

3

Прокладка кабеля и монтаж Сетевого оборудования

рабочий

4

4

Написание программы

инженер

3

5

Консультации по специальной части

руководитель

2

6

Консультации по БЖД

консультант

1

7

Консультации по экономике

консультант

1

8

Проведение нормоконтроля

консультант

1

9

Сдача работы

руководитель

1

Итого

16

4.3 Расчёт заработной платы

Определяем оклады руководителю, консультанту, рабочему и инженеру. Считая, что в месяце 22 рабочих дня, определяем дневной заработок, а также трудоёмкость. Месячный оклад руководителя составляет 12000 руб., консультанта-4500руб., рабочего-6000 руб., инженера-8500 руб. Сведем результаты расчетов в таблицу 4.

Таблица 4 - Расчет заработной платы

Исполнители

Дневной заработок, руб.

Участие, дни

Заработная плата, руб.

Руководитель

545,45

4

2181,8

Инженер

386,36

5

1931,8

Рабочий

272,72

4

1090,88

Консультант по экономике

204,55

1

204,55

Консультант по БЖД

204,55

1

204,55

Консультант по нормоконтролю

204,55

1

204,55

Итого

16

5818,13

4.4 Смета затрат

Фонд оплаты труда (ФОТ) определяется суммой основной заработной платы (ОЗП) и дополнительной заработной платы (ДЗП). ДЗП составляет 30% от ОЗП:

Отчисления в фонд социального страхования (ФСС) определяется в размере 35,6% от фонда оплаты труда:

.

Затраты на электроэнергию определяются по формуле

где - коэффициент использования мощности, ;

- мощность всех приборов;

- фонд времени работы прибора;

- стоимость киловатт-часа электроэнергии, .

Результаты расчета затрат на электроэнергию сведены в таблицу 5.

Таблица 5 - Затраты на электроэнергию

Оборудование

Потребляемая мощность, кВт

Фонд времени, час

Расход, кВт час

Затраты, руб.

НДС, руб.

Сумма без НДС, руб.

Программатор

0,05

4

0,2

0,19

0,038

0,152

Итого

0,19

0,038

0,152

4.5 Амортизация

В процессе установки программного обеспечения был использован программатор TE-ARM-LINK

На проведение экспериментальных работ выделен 1 день или 0,0027 года. Результаты работы сведены в таблицу 6. Норма амортизации составляет 15%.

Таблица 6 - Амортизационные отчисления

Наименование оборудования

Цена за единицу, руб.

Время использования, год

Норма амортизации, %

Год. сумма амортизации, руб.

Амортизационные отчисления, руб.

Программатор TE-ARM-LINK

2632.83

0,0027

15

394,92

1,06

Итого

394,92

1,06

Время дипломного проектирования 3 месяца, что составляет 0,25 лет. Затраты на специальное оборудование составляют:

,

где - затраты на специальное оборудование;

- годовые амортизационные отчисления;

- время использования по теме;

- длительность работы над дипломом.

Общая сумма всех затрат:

.

Полная себестоимость:

.

Полученные результаты расчёта основных технико-экономических показателей модернизации сведены в таблицу 7.

Таблица 7 - Основные показатели

Статьи затрат

Сумма, руб.

1

Основная заработная плата

5818,13

2

Дополнительная заработная плата

1745,44

3

Фонд оплаты труда

7563,57

4

Отчисления в фонд социального страхования

2692,63

5

Амортизационные отчисления

1,06

6

Затраты на электроэнергию

0,152

7

Затраты на материальные ресурсы

15399,92

Полная себестоимость

22936,91

Лимитная цена

32111,67

Цена разработки

38534,00

4.6 Расчёт цены реализации разработки

Определим лимитную цену разработки по формуле:

,

где - прибыль предприятия определяется рентабельностью изделия и принимается равной 40% от себестоимости.

Цена реализации разработки:

где - налог на добавленную стоимость, составляет 20% от лимитной цены разработки.

ЗАКЛЮЧЕНИЕ

В данном дипломном проекте была разработана универсальная микропроцессорная система сбора сигналов со следующими характеристиками: 8 каналов, частота опроса 10 кГц, Ethernet интерфейс, микроконтроллер STM32F207.

Рассмотрены в общем виде процесс производства стали, описаны известные способы детектирования шлака, выполнен анализ поставленной задачи, определена возможность её реализации и перечень работ, необходимых для её выполнения.

Оценены характеристики периферийных устройств контроллера и определены режимы их работы, необходимые для выполнения технического задания.

Разработано программное обеспечение для модуля TE-STM32F207 позволяющее применять данную плату для любой системы детектирования шлака, описанной в данной работе.

Рассмотрены нормы безопасности необходимые к соблюдению при проектировании и реализации данного проекта.

Произведен анализ технико-экономических показателей и обоснование экономической целесообразности принятых в проекте решений

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

1. Машины и агрегаты металлургических заводов. / В 3-х томах.Т.2 Машины и агрегаты сталеплавильных цехов. Учебник для вузов/Целиков А.И., Полухин П.И., Гребеник В.М. и др. 2-е изд.,перераб. и доп.- М.:Металлургия, - 1988 - 432 с. - С.- 73 - 87.

2. Technoap.ru - сайт НПО «ТехноАп».

3. Анализ эффективности раннего обнаружения шлака при сливе металла из одних металлургических емкостей в другие. Отчет НПО "ТехноАп" по материалам зарубежной прессы и результатам испытания на ММК.

4. Разработка и промышленная эксплуатация на ОАО "ММК" системы раннего обнаружения шлака "ДШ-К" фирмы ЗАО "ТЕХНОАП". Тахаутдинов Р.С., Носов А.Д., Бодяев Ю.А., Бузинник В.М.. (ОАО "Магнитогорский металлургический комбинат"), Сорокин А.Н., Формакидов А.М.(ЗАО "Техноап").

5. Cortex-M3 Technical reference manual, ARM Ltd.

6. ARMv7-M architectural reference manual, ARM Ltd.

7. ARM Architectural reference manual Thumb2 supplement, ARM Ltd.

8. STM32F207xx User Manual, ST Microelectronics.

9. STM32F20xxx FLASH Programming manual, ST Microelectronics.

ПРИЛОЖЕНИЕ А

(обязательное)

#include "stm32f2x7_eth.h"

#include "stm32f2xx_it.h"

#include "netconf.h"

#include "main.h"

void AdcInit(void)

{

RCC->APB2ENR |= RCC_APB2ENR_IOPAEN;

GPIOA->CRL &= ~GPIO_CRL_MODE0;

GPIOA->CRL &= ~GPIO_CRL_MODE1;

GPIOA->CRL &= ~GPIO_CRL_MODE2;

GPIOA->CRL &= ~GPIO_CRL_MODE3;

GPIOA->CRL &= ~GPIO_CRL_MODE4;

GPIOA->CRL &= ~GPIO_CRL_MODE5;

GPIOA->CRL &= ~GPIO_CRL_MODE6;

GPIOA->CRL &= ~GPIO_CRL_MODE7;

GPIOA->CRL &= ~GPIO_CRL_CNF0;

GPIOA->CRL &= ~GPIO_CRL_CNF1;

GPIOA->CRL &= ~GPIO_CRL_CNF2;

GPIOA->CRL &= ~GPIO_CRL_CNF3;

GPIOA->CRL &= ~GPIO_CRL_CNF4;

GPIOA->CRL &= ~GPIO_CRL_CNF5;

GPIOA->CRL &= ~GPIO_CRL_CNF6;

GPIOA->CRL &= ~GPIO_CRL_CNF7;

RCC->APB2ENR |= RCC_APB2ENR_ADC1EN;

ADC1->CR2 |= ADC_CR2_DMA;

ADC1->CR1 |= ADC_CR1_EOCIE;

ADC1->CR2 |= ADC_CR2_CAL;

while (!(ADC1->CR2 & ADC_CR2_CAL)){};

ADC1->CR2 |= ADC_CR2_EXTSEL;

ADC1->CR2 |= ADC_CR2_EXTTRIG;

ADC1->SMPR1 |= ADC_SMPR1_SMP0;

ADC1->SMPR1 |= ADC_SMPR1_SMP1;

ADC1->SMPR1 |= ADC_SMPR1_SMP2;

ADC1->SMPR1 |= ADC_SMPR1_SMP3;

ADC1->SMPR1 |= ADC_SMPR1_SMP4;

ADC1->SMPR1 |= ADC_SMPR1_SMP5;

ADC1->SMPR1 |= ADC_SMPR1_SMP6;

ADC1->SMPR1 |= ADC_SMPR1_SMP7;

ADC1->SQR3 |= ADC_SQR3_SQ2_0;

ADC1->SQR3 |= ADC_SQR3_SQ3_1;

ADC1->SQR3 |= ADC_SQR3_SQ4_0;

ADC1->SQR3 |= ADC_SQR3_SQ4_1;

ADC1->SQR3 |= ADC_SQR3_SQ5_2;

ADC1->SQR3 |= ADC_SQR3_SQ6_0;

ADC1->SQR3 |= ADC_SQR3_SQ6_2;

ADC1->SQR3 |= ADC_SQR3_SQ7_1;

ADC1->SQR3 |= ADC_SQR3_SQ7_2;

ADC1->SQR3 |= ADC_SQR3_SQ8_0;

ADC1->SQR3 |= ADC_SQR3_SQ8_1;

ADC1->SQR3 |= ADC_SQR3_SQ8_2;

ADC1->SQR1 |= ADC_SQR1_L_3;

ADC1->CR2 |= ADC_CR2_ADON;

}

void TIM_init(void)

{

RCC->APB1ENR |= RCC_APB1ENR_TIM1EN;

TIM1->ARR = 1;

TIM1->PSC = 12000-1;

TIM1->CCMR1 |= TIM_CCMR1_OC1M_1 | TIM_CCMR1_OC1M_2;

TIM1->CR2 |= TIM_CR2_OIS1;

TIM1->CR1 |= TIM_CR1_CEN;

TIM1->DIER |= TIM_DIER_UIE;

}

void TIM2_IRQHandler (void)

{

TIM1->SR &= ~TIM_SR_UIF;

ADC1->CR2 |= ADC_CR2_SWSTART;

}

void DMA_init (void)

{

RCC->AHBENR |= RCC_AHBENR_DMA1EN;

DMA1_Channel1->CPAR = (uint32_t) &ADC1->DR;

DMA1_Channel1->CMAR = (uint32_t) &BuffRxd[0];

DMA1_Channel1->CNDTR = 1;

DMA1_Channel1->CCR &= ~DMA_CCR4_DIR;

DMA1_Channel1->CCR |= DMA_CCR4_PSIZE_0;

DMA1_Channel1->CCR = ~DMA_CCR4_PINC;

DMA1_Channel4->CCR |= DMA_CCR4_MSIZE_0;

DMA1_Channel4->CCR |= DMA_CCR4_MINC;

DMA1_Channel1->CCR |= DMA_CCR1_CIRC;

DMA1_Channel1->CCR |= DMA_CCR1_EN;

}

void ADC1_2_IRQHandler (void)

{

schetchik = schetchik + 1

if (schetchik = 80)

{

schetchik = 0

struct udp_pcb *upcb;

struct pbuf *p;

struct ip_addr DestIPaddr;

unsigned char tdata[1280] = BuffTxd[1280];

char *data = tdata;

upcb = udp_new();

IP4_ADDR( &DestIPaddr, DEST_IP_ADDR0, DEST_IP_ADDR1, DEST_IP_ADDR2, DEST_IP_ADDR3 );

udp_connect(upcb, &DestIPaddr, UDP_SERVER_PORT);

p = pbuf_alloc(PBUF_TRANSPORT,strlen((char*)data), PBUF_POOL);

pbuf_take(p, (char*)data, strlen((char*)data));

udp_send(upcb, p);

udp_disconnect(upcb);

pbuf_free(p);

}

}

int main(void)

{

unsigned char BuffTxd[1280]

ADC_init();

TIM_init();

DMA_init();

ETH_BSP_Config();

LwIP_Init();

__enable_irq();

NVIC_EnableIRQ(TIM1_IRQn);

NVIC_SetPriority(TIM1_IRQn, 1);

NVIC_EnableIRQ(ADC1_IRQn)

while (1)

{

if (ETH_CheckFrameReceived())

{

LwIP_Pkt_Handle();

}

LwIP_Periodic_Handle(LocalTime);

}

}

Размещено на Allbest.ru


Подобные документы

  • Разработка микропроцессорной системы управления технологическим объектом. Выбор и расчет элементов системы, разработка ее программного обеспечения. Составление структурных, функциональных и принципиальных схем микроконтроллеров семейства MCS-51.

    курсовая работа [579,0 K], добавлен 20.09.2012

  • Рассмотрение аппаратных принципов построения устройств микропроцессорной техники и их программного обеспечения. Структурная схема микропроцессора К1821ВМ85А. Карта распределения адресного пространства памяти. Расчет потребляемой устройством мощности.

    курсовая работа [2,4 M], добавлен 26.11.2012

  • Принципиальные схемы вычислительного канала, устройств сравнения и контроля, безопасного ввода информации. Разработка алгоритма управления состоянием переезда, передачи и программного обеспечения. Расчет показателей безотказности и безопасности системы.

    курсовая работа [822,8 K], добавлен 08.02.2014

  • Описание алгоритма работы и разработка структурной схемы микропроцессорной системы управления. Разработка принципиальной схемы. Подключение микроконтроллера, ввод цифровых и аналоговых сигналов. Разработка блок-схемы алгоритма главной программы.

    курсовая работа [3,3 M], добавлен 26.06.2016

  • Выбор программного обеспечения. Построение функциональной модели. Тестирование программного описания автомата. Проектирование общей схемы сборки проекта из отдельных фрагментов. Нормы затрат на проектирование и внедрение микропроцессорной системы.

    дипломная работа [348,1 K], добавлен 05.05.2015

  • Методы реализации цифровых фильтров сжатия и их сравнение. Разработка модуля сжатия сложных сигналов. Разработка структурной схемы модуля и выбор элементной базы. Анализ работы и оценка быстродействия. Программирование и конфигурирование микросхем.

    дипломная работа [5,7 M], добавлен 07.07.2012

  • Система цифровой обработки информации среднего быстродействия. Назначение, состав, принцип работы отдельных блоков и устройств. Расчет потребляемой мощности микропроцессорной системы. Способы адресации данных. Процесс инициализации внешних устройств.

    курсовая работа [1,1 M], добавлен 27.05.2013

  • Обзор генераторов сигналов. Структурная схема и элементная база устройства. Разработка печатной платы модуля для изучения генератора сигналов на базе прямого цифрового синтеза. Выбор технологии производства. Конструкторский расчет; алгоритм программы.

    дипломная работа [1,7 M], добавлен 25.04.2015

  • Аппаратные принципы построения устройств микропроцессорной техники и приобретение практических навыков по разработке микропроцессорных систем. Техническая характеристика микропроцессора ATmega и анализ микросхемы памяти. Схема микропроцессорной системы.

    курсовая работа [1,6 M], добавлен 19.11.2011

  • Теоретические принципы разработки микропроцессорной системы охраны и сигнализации. Разработка графа и таблицы переходов состояний МПСО, его аппаратного и программного интерфейса, управляющих программ режимов и специального программного обеспечения.

    курсовая работа [37,0 K], добавлен 12.05.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.