Моделирование цифровых узлов

Понятие моделей источников цифровых сигналов. Программы схемотехнического моделирования цифровых устройств. Настройка параметров моделирования. Определение максимального быстродействия. Модели цифровых компонентов, основные методы их разработки.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 12.11.2014
Размер файла 2,4 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

TWCLKHMX

Минимальная длительность импульса "1" на входе синхронизации С, максимальное значение

TSUDCLKMN

Время предустановки импульсов на входах D, J, K относительно фронта импульса С, минимальное значение

TSUDCLKTY

Время предустановки импульсов на входах D, J, K относительно фронта импульса С, среднее значение

TSUDCLKMX

Время предустановки импульсов на входах D, J, K относительно фронта импульса С, максимальное значение

TSUPCCLKHMN

Длительность импульса "1" на входах R или S относительно фронта импульса С, минимальное значение

TSUPCCLKHTY

Длительность импульса "1" на входах R или S относительно фронта импульса С, среднее значение

TSUPCCLKHMX

Длительность импульса "1" на входах R или S относительно фронта импульса С, максимальное значение

THDCLKMN

Последействие импульса на входах D, J, K относительно фронта импульса С, минимальное значение

THDCLKTY

Последействие импульса на входах D, J, K относительно фронта импульса С, среднее значение

THDCLKMX

Последействие импульса на входах D, J, K относительно фронта импульса С, максимальное значение

Таблица 2.2 Задержки в моделях триггеров с потенциальным управлением

Обозначение

Вид задержки

TPPCQLHMN

Задержка импульса при переходе от"0" к "1" со входов S или R к выходам Y, минимальное значение

TPPCQLHTY

Задержка импульса при переходе от"0" к "1" со входов S или R к выходам Y, среднее значение

TPPCQLHMX

Задержка импульса при переходе от"0" к "1" со входов S или R к выходам Y, максимальное значение

TPPCQHLMN

Задержка импульса при переходе от"1" к "0" со входов S или R к выходам Y, минимальное значение

TPPCQHLTY

Задержка импульса при переходе от"1" к "0" со входов S или R к выходам Y, среднее значение

TPPCQHLMX

Задержка импульса при переходе от"1" к "0" со входов S или R к выходам Y, максимальное значение

TWPCLMN

Минимальная длительность импульса "0" на входах R или S, минимальное значение

TWPCLTY

Минимальная длительность импульса "0" на входах R или S, среднее значение

TWPCLMX

Минимальная длительность импульса "0" на входах R или S, максимальное значение

TPGQLHMN

Задержка импульса при переходе от "0" к "1" от фронта импульса С до выхода Y, минимальное значение

Обозначение

Вид задержки

TPGQLHTY

Задержка импульса при переходе от "0" к "1" от фронта импульса С до выхода Y, среднее значение

TPGQLHMX

Задержка импульса при переходе от "0" к "1" от фронта импульса С до выхода Y, максимальное значение

TPGQHLMN

Задержка импульса при переходе от "1" к "0" от фронта импульса С до выхода Y, минимальное значение

TPGQHLTY

Задержка импульса при переходе от "1" к "0" от фронта импульса С до выхода Y, среднее значение

TPGQHLMX

Задержка импульса при переходе от "1" к "0" от фронта импульса С до выхода Y, максимальное значение

TPDQLHMN

Задержка импульса при переходе от"0" к "1" от входов S, R или D до выходов Y, минимальное значение

TPDQLHTY

Задержка импульса при переходе от"0" к "1" от входов S, R или D до выходов Y, среднее значение

TPDQLHMX

Задержка импульса при переходе от"0" к "1" от входов S, R или D до выходов Y, макс. значение

TPDQHLMN

Задержка импульса при переходе от"1" к "0" от входов S, R или D до выходов Y, минимальное значение

TPDQHLTY

Задержка импульса при переходе от"1" к "0" от входов S, R или D до выходов Y, среднее значение

TPDQHLMX

Задержка импульса при переходе от"1" к "0" от входов S, R или D до выходов Y, максимальное значение

TWGHMN

Минимальная длительность сигнала "1" на входе синхронизации С, минимальное значение

TWGHTY

Минимальная длительность сигнала "1" на входе синхронизации С, среднее значение

TWGHMX

Минимальная длительность сигнала "1" на входе синхронизации С, максимальное значение

TSUDGMN

Время предустановки импульса по входам S, R или D относительно фронта С, минимальное значение

TSUDGTY

Время предустановки импульса по входам S, R или D относительно фронта С, среднее значение

TSUDGMX

Время предустановки импульса по входам S, R или D относительно фронта С, максимальное значение

TSUPCGHMN

Длительность сигнала "1" на входах или при действии фронта импульса С, минимальное значение

TSUPCGHTY

Длительность сигнала "1" на входах или при действии фронта импульса С, среднее значение

TSUPCGHMX

Длительность сигнала "1" на входах или при действии фронта импульса С, максимальное значение

THDGMN

Время удержания импульса по входам S, R или D после фронта импульса С, минимальное значение.

THDGTY

Время удержания импульса по входам S, R или D после фронта импульса С, среднее значение.

THDGMX

Время удержания импульса по входам S, R или D после фронта импульса С, максимальное значение

2.3.2 Модели логических элементов

Условно-графическое обозначение логических элементов по ГОСТ и ANSI показано на рис.2.10.

Буфер BUF ИЛИ OR. Инвертор INVИЛИ-НЕ NOR

И AND Исключающее ИЛИ XOR

И-НЕ NAND Исключающее ИЛИ-НЕ XNOR

Рис.2.10. Условно-графические обозначения логических элементов

Таблица 2.3 Задержки в моделях логических элементов

Обозначение

Вид задержки

Примеч.

TPLHMN

Задержка при переходе от "0" к "1", минимальная

Для всех типов логических элементов

TPLHTY

Задержка при переходе от "0" к "1", средняя

TPLHMX

Задержка при переходе от "0" к "1", максимальная

TPHLMN

Задержка при переходе от "1" к "0", минимальная

TPHLTY

Задержка при переходе от "1" к "0", средняя

TPHLMX

Задержка при переходе от "1" к "0", максимальная

TPLZMN

Задержка при переходе от"0" к "Z", минимальная

Для логических элементов с тремя состояниями

TPLZTY

Задержка при переходе от "0" к "Z", средняя

TPLZMX

Задержка при переходе от "0" к "Z", максимальная

TPHZMN

Задержка при переходе от "1" к "Z", минимальная

TPHZTY

Задержка при переходе от "1" к "Z", средняя

TPHZMX

Задержка при переходе от "1" к "Z", максимальная

TPZLMN

Задержка при переходе от "Z" к "0", минимальная

TPZLTY

Задержка при переходе от "Z" к "0", средняя

TPZLMX

Задержка при переходе от "Z" к "0", максимальная

Для логических элементов с тремя состояниями

TPZHMN

Задержка при переходе от "Z" к "1", минимальная

TPZHTY

Задержка при переходе от "Z" к "1", средняя

TPZHMX

Задержка при переходе от "Z" к "1", максимальная

2.4 Выполнение лабораторной работы

Индивидуальные задания на моделирование цифрового узла выдаются преподавателем. В процессе домашней подготовки к лабораторной работе необходимо на основе материалов лекций и учебных пособий [1 - 12] подготовить принципиальную схему узла и перечень ее элементов, изучить порядок работы с программой Micro-Cap. В процессе выполнения лабораторной работы в компьютерном классе необходимо в рамках программы Micro-Cap выполнить следующие основные этапы:

§ создать в редакторе Micro-Cap электрическую принципиальную схему цифрового узла;

§ подключить к ней и настроить источники цифровых сигналов;

§ задать на проводниках принципиальной схемы контрольные точки;

§ провести настройку параметров системы моделирования, провести моделирование и получить временные диаграммы работы цифрового узла;

§ определить максимальное быстродействие цифрового узла;

§ провести анализ результатов и отобразить результаты моделирования в отчете.

Отчет по выполнению лабораторной работы должен содержать:

§ результаты домашней подготовки, включающие электрическую принципиальную схему, перечень элементов к схеме, расчет задержек распространения сигналов и расчетное быстродействие цифрового узла;

§ зарисовку с экрана временной диаграммы работы цифрового узла;

§ результаты по определению максимального быстродействия цифрового узла.

Библиографический список

1. Поляков А.К. Языки VHDL и VERILOG в проектировании цифровой аппаратуры. М.: Издательство Солон-Пресс, 2003. - 313 с.

2. Поляков А.К. Моделирование ЭВМ на языке VHDL. М.: Издательство МЭИ, 1994. - 106 с.

3. Бибило Н.П. Основы языка VHDL. М.: Издательство Солон-Р, 2000.

200 с.

4. Стешенко В.Б. ПЛИС фирмы "ALTERA". М.: Издательство Додека XXI, 2002. - 573 с.

5. Уэйкерли Дж.Ф. Проектирование цифровых устройств. Т.1 и 2. М.: Издательство Постмаркет, 2002. - 1087 с.

6. Перельройзен Е.З. Проектируем на VHDL. М.: Солон-Пресс, 2004. - 443 с.

7. Розевиг В.Д. Схемотехническое моделирование с помощью Micro-Cap М.: Горячая линия - Телеком, 2003. - 368 с.

8. Micro-Cap 7. Electronic Circuit Analysis Program User's Guide - Sunnyvale: Spectrum Software, 2001. http://www.spectrum-soft.com/manual. shtm

9. Micro-Cap 7. Electronic Circuit Analysis Program Reference - Sunnyvale: Spectrum Software, 2001. http://www.spectrum-soft.com/ manual. shtm.

10. Потемкин И.С. Функциональные узлы цифровой автоматики. М.: Энергия, 1988. - 320 с.

11. Применение интегральных микросхем в электронной вычислительной технике: справочник/ Р.В. Данилов, С.А. Ельцова, Ю.П. Иванов и др.; под ред. Б.Н. Файзулаева, Б.В. Тарабрина. М.: Радио и связь, 1987. - 384 с.

12. Дерюгин А.А. Элементы и узлы ЭВМ. Лабораторные работы. - М.: Издательство МЭИ, 2000. - 48 с.

Приложение

Таблица П1. Отечественные микросхемы и их зарубежные аналоги

Отечественные микросхемы

Зарубежный аналог

Отечественные микросхемы

Зарубежный

аналог

Дешифраторы

КР***КП14

74***258

КР***ИД4

74***155

КР***КП15

74***251

КР***ИД7

74***138

КР***КП16

74***157

КР***ИД14

74***139

КР***КП17

74***353

Счетчики

КР***КП18

74***158

КР***ИЕ2

74***90

КР***КП19

74***352

КР***ИЕ5

74***93

Логические элементы И-НЕ

КР***ИЕ6

74***192

КР***ЛА1

74***20

КР***ИЕ7

74***193

КР***ЛА2

74***30

КР***ИЕ9

74***160А

КР***ЛА3

74***00

КР***ИЕ10

74***161

КР***ЛА4

74***10

КР***ИЕ11

74***162

КР***ЛА6

74***40А

КР***ИЕ12

74***190

КР***ЛА7

74***22

КР***ИЕ13

74***191

КР***ЛА8

74***01

КР***ИЕ18

74***163

КР***ЛА9

74***03

КР***ИЕ19

74***393

КР***ЛА10

74***12А

Регистры

КР***ЛА12

74***37

КР***ИР9

74***165

КР***ЛА13

74***38

КР***ИР10

74***166

КР***ЛА21

74***1000А

КР***ИР22

74***373

КР***ЛА22

74***1020А

КР***ИР23

74***374

КР***ЛА23

74***1003А

КР***ИР24

74***299

КР***ЛА24

74***1010А

КР***ИР29

74***323

Логические элементы ИЛИ-НЕ

КР***ИР30

74***259

КР***ЛЕ1

74***02

КР***ИР32

74***170

КР***ЛЕ4

74***27

КР***ИР33

74***573

КР***ЛЕ10

74***1002А

КР***ИР34

74***873

КР***ЛЕ11

74***33А

КР***ИР35

74***273

Логические элементы И

КР***ИР37

74***574

КР***ЛИ1

74***08

КР***ИР38

74***874

КР***ЛИ2

74***09

Мультиплексоры

КР***ЛИ3

74***11А

КР***КП2

74***153

КР***ЛИ4

74***15А

КР***КП7

74***151

КР***ЛИ6

74***21

КР***КП11

74***257

КР***ЛИ8

74***1008А

КР***КП12

74***253

КР***ЛИ10

74***1011А

КР***КП13

74***298

Отечественные микросхемы

Зарубежный аналог

Отечественные микросхемы

Зарубежный

аналог

Логические элементы ИЛИ

Лог. эл-т 4И-2ИЛИ-НЕ

КР***ЛЛ1

74***32

КР***ЛР4

74***55

КР***ЛЛ4

74***1032А

Лог. эл-т 2И-2ИЛИ-НЕ

Логические элементы НЕ

КР***ЛР11

74***51

КР***ЛН1

74***04

Лог. эл-т 3-2-2-3И-4ИЛИ-НЕ

КР***ЛН2

74***05

КР***ЛР13

74***54

КР***ЛН7

74***368

JK-триггеры

КР***ЛН8

74***1004

КР***ТВ6

74***107

КР***ЛН10

74***1005

КР***ТВ9

74***112А

Лог. эл-т Исключающее ИЛИ

КР***ТВ10

74***113А

КР***ЛП5

74***86

КР***ТВ11

74***114А

Лог. эл-т Исключ. ИЛИ с ОК

КР***ТВ15

74***109

КР***ЛП12

74***136

D-триггеры

Буферный эл-т с 3-мя сост.

КР***ТМ2

74***74

КР***ЛП8

74***125

КР***ТМ7

74***75

Буферный элемент с ОК

КР***ТМ8

74***175

КР***ЛП17

74***1035

КР***ТМ9

74***174

Буферный элемент

Триггер с раздельным запуском

КР***ЛП16

74***1034

КР***ТР2

74***279

Примечание.

Отечественной серии 531 соответствует зарубежная серия S.

Отечественной серии 555 соответствует зарубежная серия LS.

Отечественной серии 1531 соответствует зарубежная серия F.

Отечественной серии 1533 соответствует зарубежная серия ALS.

Отечественной серии 1554 соответствует зарубежная серия AC.

Обозначения в сериях ТТЛ: S - Schottky (серия с диодом Шоттки); L - Low (серия с низким током потребления); A - Advanced (расширенная серия); F - Fast (быстрая серия).

Обозначения в сериях КМОП: C - CMOC (КМОП); H - High speed (высокоскоростная серия); T - TTL compatible (ТТЛ совместимая серия); V - Very (Очень).

Таблица П2. Параметры зарубежных серий элементов типа 2И-НЕ

Серия

tp, нс

Pcc, мВт

Серия

tp, нс

Icc, мкА

PccD,

мВт\МГц

ТТЛ

КМОП

74S

3

19

74HC

9

2.5

0.55

74LS

9

2

74HCT

10

2.5

0.38

74AS

1.7

8

74VHC

5.2

5.0

0.48

ALS

4

1.2

74VHCT

5.5

5.0

0.43

F

3

4

Размещено на Allbest.ru


Подобные документы

  • Характеристика основных компонентов для исследования цифровых схем. Порядок работы с системой моделирования. Особенности структуры компонентов моделирования цифровых схем, исследование платы на безопасность, разработка интерфейсной части и алгоритмов.

    курсовая работа [238,9 K], добавлен 12.07.2013

  • Разработка функционально законченного устройства для обработки входных сигналов линии с использованием цифровых устройств и аналого-цифровых узлов. Алгоритм работы устройства. Составление программы на языке ассемблера. Оценка быстродействия устройства.

    курсовая работа [435,5 K], добавлен 16.12.2013

  • Классификация цифровых приборов. Модели цифровых сигналов. Методы амплитудной, фазовой и частотной модуляции. Методика измерения характеристики преобразования АЦП. Синтез структурной, функциональной и принципиальной схемы генератора тестовых сигналов.

    дипломная работа [2,2 M], добавлен 19.01.2013

  • Технические характеристики цифрового компаратора. Описание цифровых и аналоговых компонентов: микросхем, датчиков, индикаторов, активных компонентов, их условные обозначения и принцип работы. Алгоритм работы устройства, структурная и принципиальная схемы.

    курсовая работа [1023,2 K], добавлен 29.04.2014

  • Обзор современных схем построения цифровых радиоприемных устройств (РПУ). Представление сигналов в цифровой форме. Элементы цифровых радиоприемных устройств: цифровые фильтры, детекторы, устройства цифровой индикации и устройства контроля и управления.

    курсовая работа [1,3 M], добавлен 15.12.2009

  • Структура и направления деятельности компании ООО "Главный калибр". Изучение основных узлов и устройств вычислительной техники. Конструкторско-технологическое обеспечение производства приспособления. Выполнение работ по проектированию цифровых устройств.

    отчет по практике [23,7 K], добавлен 17.04.2014

  • Алгоритмическое, логическое и конструкторско-технологическое проектирование операционного автомата. Изучение элементной базы простейших цифровых устройств. Разработка цифрового устройства для упорядочивания двоичных чисел. Синтез принципиальных схем.

    курсовая работа [2,5 M], добавлен 07.01.2015

  • Основные положения алгебры логики. Составление временной диаграммы комбинационной логической цепи. Разработка цифровых устройств на основе триггеров, электронных счётчиков. Выбор электронной цепи аналого-цифрового преобразования электрических сигналов.

    курсовая работа [804,2 K], добавлен 11.05.2015

  • Сравнительный анализ программных средств схемотехнического моделирования цифровых устройств. Анализ функциональной памяти типа FIFO, LIFO в микропроцессорах, разработка укрупненной структуры и принципиальной схемы. Имитатор управляющих сигналов.

    дипломная работа [2,3 M], добавлен 25.09.2014

  • Структурная схема цифровых систем передачи и оборудования ввода-вывода сигнала. Методы кодирования речи. Характеристика методов аналого-цифрового и цифро-аналогового преобразования. Способы передачи низкоскоростных цифровых сигналов по цифровым каналам.

    презентация [692,5 K], добавлен 18.11.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.