Проектирование дискретных систем управления

Запись условий работы устройства управления и графическая модель цикла работы механизма. Синтез отдельных блоков. Граф состояний и переходов минимизированного автомата. Определение функций возбуждения памяти. Проверка правильности работы устройства.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 20.02.2015
Размер файла 1,4 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

1. Запись условий работы устройства управления

При записи условий работы устройства управления даётся конкретная словесная формулировка, которая устанавливает соответствие между входными и выходными сигналами. Присваиваются обозначения входным и выходным сигналам.

Рассмотрим синтез схемы управления перемещения механизма по циклу 2-1-2-1-2t-3-2t-3-2.

На рисунке 1 представлена графическая модель цикла работы механизма.

Рис. 1 - Графическая модель цикла перемещения механизма

Перемещение каретки в направлении 1, 2, 3 принимают как перемещение вперёд, в направлении 3, 2, 1 - как перемещение назад.

В словесной формулировке описываем последовательность поступления входных сигналов устройства управления (с указанием их значений) и указываем, какие выходные сигналы при этом формируются.

Словесная формулировка условий работы устройства управления для рассматриваемого цикла будет иметь вид:

«В режиме "Автомат" (сигнал S6 = 1) при нажатии на кнопку "Пуск" (сигнал S4 = 1) при нахождении каретки в исходном положении 2 (сигнал S2 = 1) включается контактор КН (назад) и включается двигатель М (сигнал Xн= 1). При отпускании кнопки "Пуск" (сигнал S4 = 0) контактор КН остаётся включенным (сигнал Xн = 1) и продолжается движение механизма в направлении назад. Начинается перемещение механизма из положения 2 в положение 1. При достижении кареткой положения 1 срабатывает конечный выключатель S1 (сигнал S1 = 1), отключается контактор КН (сигнал Xн=0), включается контактор КВ (сигнал Xв = 1) и начинается перемещение каретки вперёд в положение 2. Конечный выключатель S1 выключается (S1 = 0), контактор КВ остаётся включённым (сигнал Xв = 1), продолжается перемещение каретки вперёд в положение 2. При достижении положения 2 конечный выключатель S2 включается (сигнал S2 = 1), контактор КВ выключается (сигнал Xв = 0) и включается контактор КН (сигнал Xн = 1), начинается перемещение каретки назад в положение 1. Конечный выключатель отключается S2 (сигнал S2 = 0), контактор КН остаётся включенным (сигнал Xн = 1) и продолжается перемещение каретки в направлении назад. При достижении кареткой положения 1 срабатывает конечный выключатель S1 (сигнал S1 = 1), отключается контактор КН (сигнал Xн=0), включается контактор КВ (сигнал Xв = 1) и начинается перемещение каретки вперёд в положение 2. Конечный выключатель S1 выключается (S1 = 0), контактор КВ остаётся включённым (сигнал Xв = 1), продолжается перемещение каретки вперёд в положение 2.

При достижении положения 2 конечный выключатель S2 включается (сигнал S2 = 1), контактор КВ выключается (сигнал Xв = 0), отключается контактор КН (сигнал Xн = 0), отключается двигатель М, останавливается каретка и по сигналу Т = 1 включается устройство выдержки времени УВВ. Происходит отсчёт выдержки времени. По окончании отсчёта выдержки времени на выходе устройства выдержки времени УВВ появляется сигнал t = 1. При этом включается контактор КВ (сигнал Xв = 1) и происходит перемещение каретки вперед в положение 3. Конечный выключатель отключается S2 (сигнал S2 = 0), контактор КВ остаётся включенным (сигнал Xв = 1) и продолжается перемещение каретки в направлении вперед. При достижении кареткой положения 3 срабатывает конечный выключатель S3 (сигнал S3 = 1), отключается контактор КВ (сигнал Xв = 0), отключается двигатель М, останавливается каретка и по сигналу Т = 1 включается устройство выдержки времени УВВ. Происходит отсчёт выдержки времени. По окончании отсчёта выдержки времени на выходе устройства выдержки времени УВВ появляется сигнал t = 1. При этом включается контактор КН (сигнал Xн = 1) и происходит перемещение каретки назад в положение 2. Конечный выключатель отключается S3 (сигнал S3 = 0), контактор КН остаётся включенным (сигнал Xн = 1) и продолжается перемещение каретки в направлении назад. Реле времени отключается (сигналы Т = 0, t = 0), контактор КН остаётся включенным (сигнал Xн = 1) и продолжается перемещение каретки в положение 2.При достижении кареткой положения 2 срабатывает конечный выключатель S2 (сигнал S2 = 1), отключается контактор КН (сигнал Xн = 0), отключается двигатель М, останавливается каретка и по сигналу Т = 1 включается устройство выдержки времени УВВ. Происходит отсчёт выдержки времени.

По окончании отсчёта выдержки времени на выходе устройства выдержки времени УВВ появляется сигнал t = 1. При этом включается контактор КВ (сигнал Xв = 1) и происходит перемещение каретки вперед в положение 3. Реле времени отключается (сигналы Т = 0, t = 0), контактор КВ остаётся включенным (сигнал Xв = 1) и продолжается перемещение каретки в положение 3. Конечный выключатель отключается S2 (сигнал S2 = 0), контактор КВ остаётся включенным (сигнал Xв = 1) и продолжается перемещение каретки в направлении вперед. При достижении кареткой положения 3 срабатывает конечный выключатель S3 (сигнал S3 = 1), отключается контактор КВ (сигнал Xв = 0), при этом включается контактор КН (сигнал Xн = 1) и происходит перемещение каретки назад в положение 2. Конечный выключатель отключается S3 (сигнал S3 = 0), контактор КН остаётся включенным (сигнал Xн = 1) и продолжается перемещение каретки в направлении назад. При достижении кареткой положения 2 срабатывает конечный выключатель S2 (сигнал S2 = 1), отключается контактор КН (сигнал Xн = 0), выключается двигатель и каретка останавливается.

В режиме "Наладка" (сигнал S6 =0) перемещение механизма происходит при нажатии и удержании кнопок "Вперёд" (сигнал S7 = 1) или "Назад" (сигнал S8 = 1) независимо от конечных выключателей.

При нажатии на кнопку "Стоп" (сигнал S5 = 1) происходит остановка привода механизма в любом месте цикла.

1.1 Блочный синтез

В рассматриваемой схеме управления присутствует большое количество входных сигналов, что существенно затрудняет её формальное описание и синтез. Так как сигналы разделены по функциональному значению, целесообразно для упрощения проектирования разделить схему на отдельные функциональные блоки и наметить обмен информации между ними.

Блочная структура устройства управления представлена на рисунке 3.

Исходя из принципа действия, устройство управления можно разделить на четыре функциональных блока. Для связи между блоками введём дополнительные внутренние сигналы. Дадим описание каждого блока по отдельности.

Блок Б1 - блок, формирующий команду на отработку автоматического цикла.

Входные сигналы данного блока

S4 - сигнал с кнопки «Пуск»; S5 - сигнал с кнопки «Стоп»; S6 - сигнал выбора режима;

с - сигнал об окончании цикла перемещений.

Выходные сигналы

d - сигнал разрешения отработки автоматического цикла.

Блок работает следующим образом. При нажатии на кнопку «Пуск» S4 и при отсутствии сигналов «Стоп» S5 и сна выходе блока формируется сигнал d = 1, поступающий в блок Б3. При нажатии на кнопку «Стоп» S5 или при поступлении сигнала об окончании цикла с = 1 сигнал d на выходе блока становится равным нулю и отработка цикла перемещений прекращается.

Рис. 2. Блочная схема проектируемого устройства

Блок Б2 - блок режима "Наладка".

Выполняет перемещение каретки в режиме наладки. Входные сигналы

S6 - сигнал выбора режима;

S7 - сигнал с кнопки движения вперёд в режиме «Наладка»; S8 - сигнал с кнопки движения назад в режиме «Наладка». Выходные сигналы

Zв - сигнал перемещения вперёд;

Zн - сигнал перемещения назад.

При S6 = 0 и нажатой кнопке S7 происходит перемещение каретки вперёд (Zв = 1, Zн = 0). При нажатой S8 каретка движется назад (Zв = 0, Zн = 1). Одновременное нажатие кнопок недопустимо и должно блокироваться.

Блок Б3 - блок автоматического цикла.

Входные сигналы

S1, S2, S3 - сигналы с конечных выключателей в положениях 1, 2, 3;

d - сигнал разрешения отработки автоматического цикла;

t - сигнал об окончании выдержки времени. Выходные сигналы

Yв, Yн - сигналы перемещения вперёд, назад; Т - сигнал на включение выдержки времени; с - сигнал об окончании цикла перемещений.

Этот блок начинает автоматическое выполнение цикла перемещений при поступлении сигнала "Начало цикла" (сигнал d = 1) из блока Б1. По включенному (сигнал S = 1) или по выключенному (сигнал S = 0) положению конечных выключателей S1, S2, S3 этот блок обеспечивает выполнение цикла заданных перемещений формируя команды на движение вперёд (Yв = 1) или назад (Yн = 1). Кроме этого в в соответствующем положении в соответствии с циклом формирует сигнал на включение выдержки времени (сигнал Т = 1). После отработки всего цикла перемещений на выходе блока формируются сигнал об окончания цикла (сигнал с = 1).

Блок Б4 - блок формирования сигналов силовых контакторов.

Производит формирование сигналов включения пускателей вперёд (сигнал Xв = 1) или назад (сигнал Xн = 1) из сигналов, поступающих из блоков Б2 (Zв, Zн) и Б3 (Yв, Yн). Кроме этого обеспечивает защиту от одновременного срабатывания контакторов Xв , Xн для исключения возможности короткого замыкания.

1.2 Синтез отдельных блоков

Синтез блока, формирующего команду обработки автоматического цикла Б1

Входные и выходные сигналы блока представлены на рисунке 3.

Рис. 3 - Входные и выходные сигналы блока Б1

Для формального описания принципа работы блока составим автоматную таблицу. Так как последовательность поступления сигналов на вход блока неизвестна, следовательно, в автоматной таблице необходимо рассмотреть реакцию блока на любую допустимую входную последовательность. Количество состояний в автоматной таблице будет определяться количеством комбинаций значений выходного сигнала (2 комбинации: d = 0; d = 1). Автоматная таблица для блока Б1 будет иметь следующий вид.

Таблица 1. Автоматная таблица выходов и переходов блока Б1

с

с

с

с

d

S5

S5

S4

S6

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

0

1

1

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

1

Данная таблица является минимизированной, так как содержит два состояния, которые несовместимы между собой по выходам. В качестве кодов состояний можно использовать значение выходной переменной d. Для определения логического выражения для выходной переменной построим карту Карно.

Таблица 2. Карта Карно для сигнала d

с

с

с

с

S5

S5

S4

S6

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

1

1

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

d

Запишем минимизированное выражение для переменной d.

Преобразуем полученное выражение в базис операции Шеффера.

Функциональная схема блока Б1 представлена на рисунке:

Рис. 4. Функциональная схема блока Б1 на элементах И-НЕ

Синтез блока режима «Наладка» Б2

Входные и выходные сигналы блока представлены на рисунке 5.

Рис. 5. Входные и выходные сигналы блока Б2

Автоматная таблица для данного блока составляется аналогично предыдущему блоку. Таблица будет содержать три внутренних состояния по числу допустимых комбинаций значений выходных сигналов (Zв ,Zн = 00; 01; 10). Комбинация Zв ,Zн = 11 является запрещённой. В автоматной таблице необходимо предусмотреть блокировку от одновременного нажатия кнопок S7, S8. Если S7 = 1 и S8 = 1, то на выходе должен формироваться сигнал Zв = 0, Zн = 0 для исключения короткого замыкания.

Автоматная таблица блока Б2 будет иметь следующий вид.

Таблица 3. Автоматная таблица выходов и переходов блока Б2

S8

S8

S7

S6

0

0

0

0

0

1

0

2

0

0

0

1

0

0

0

0

1

0

0

0

1

0

2

0

0

0

0

0

0

2

0

0

1

Данная таблица является минимизированной, так как содержит три состояния, которые несовместимы между собой по выходам. В качестве кодов состояний можно использовать значения выходных сигналов Zв, Zн.

Для определения выходных функций строим карты Карно для переменных Zв ,Zн.

Таблица 4. Карта Карно для переменной Zв

S8

S8

S7

S6

0c

0

0

0

0

1

0

0

0

2c

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1c

0

0

0

0

1

0

0

0

Таблица 5. Карта Карно для переменной Zн

S8

S8

S7

S6

0c

0

0

0

0

0

0

1

0

2c

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

0

1c

0

0

0

0

0

0

0

0

Преобразуем полученные выражения в базис Шеффера.

На основании полученных выражений можем построить функциональную схему блока Б2.

Рис. 6. Функциональная схема блока Б2 на элементах И-НЕ

Синтез выходного блока Б4

Входные и выходные сигналы блока представлены на рисунке 7.

Рис. 7. Входные и выходные сигналы блока Б4

Автоматная таблица выходов и переходов для данного блока будет иметь следующий вид.

Таблица 6. Автоматная таблица выходов и переходов блока Б4

Хв

Хн

0

1

~

~

0

~

~

~

~

1

0

~

~

2

~

2

0

0

0

1

1

~

~

0

~

~

~

~

1

0

~

~

0

~

0

0

1

0

2

0

~

~

0

~

~

~

~

0

0

~

~

2

~

2

0

0

1

На основании автоматной таблицы составим карты Карно и запишем выражения для выходных переменных Xв, Xн.

Таблица 7. Карта Карно для переменной Хв

0

1

~

~

0

~

~

~

~

1

0

~

~

0

~

0

0

2

0

~

~

0

~

~

~

~

0

0

~

~

0

~

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

~

~

0

~

~

~

~

1

0

~

~

0

~

0

0

Таблица 8. Карта Карно для переменной Хн

0

0

~

~

0

~

~

~

~

0

0

~

~

1

~

1

0

2

0

~

~

0

~

~

~

~

0

0

~

~

1

~

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

0

~

~

0

~

~

~

~

0

0

~

~

0

~

0

0

Преобразуем полученные выражения в базис Шеффера.

На основании полученных выражений можем построить функциональную схему блока Б4.

Рис. 8. Функциональная схема блока Б4 на элементах И-НЕ

2. Минимизация автомата

Синтез блока Б3

Из рассмотренных блоков наиболее сложный алгоритм работы имеет блок автоматического цикла Б3.

Для построения автоматной таблицы на основании словесной формулировки составим первоначальную таблицу истинности. В данной таблице указывается последовательность поступления входных сигналов и отражается связь между входными и выходными сигналами. Столбцы данной таблицы соответствуют входным и выходным сигналам устройства управления, строки - входным наборам. Каждому входному набору ставится в соответствие определённое значение выходных сигналов.

Таблица 9. Первоначальная таблица истинности

№пер.

Входные сигналы

Выходные сигналы

d

S1

S2

S3

t

T

c

1

0

0

1

0

0

0

0

0

0

2

1

0

1

0

0

0

1

0

0

3

1

0

0

0

0

0

1

0

0

4

1

1

0

0

0

1

0

0

0

5

1

0

0

0

0

1

0

0

0

6

1

0

1

0

0

0

1

0

0

7

1

0

0

0

0

0

1

0

0

8

1

1

0

0

0

1

0

0

0

9

1

0

0

0

0

1

0

0

0

10

1

0

1

0

0

0

0

1

0

11

1

0

1

0

1

1

0

1

0

12

1

0

0

0

1

1

0

0

0

13

1

0

0

1

0

0

1

0

0

14

1

0

0

0

0

0

1

0

0

15

1

0

1

0

0

0

0

1

0

16

1

0

1

0

1

1

0

1

0

17

1

0

0

0

1

1

0

0

0

18

1

0

0

1

0

0

1

0

0

19

1

0

0

0

0

0

1

0

0

Из первоначальной таблицы истинности видно, что проектируемое устройство управления является дискретным автоматом с памятью. Это связано с тем, что при одинаковых входных наборах на выходе устройства формируются различные выходные сигналы. Для формального описания таких устройств используется автоматная таблица выходов и переходов [1, с 149-156].

Таблица переходов - это таблица, строки которой соответствуют исходным состояниям, столбцы соответствуют входным наборам, в клетках на пересечении строк и столбцов проставляется состояние, в которое переходит автомат из данного исходного состояния, определяемого строкой, под действием данной входной последовательности, определяемой столбцом.

Таблица выходов - это таблица, строки которой соответствуют исходным состояниям, столбцы соответствуют входным наборам, в клетках на пересечении строк и столбцов проставляется состояние выхода, формируемого в данном исходном состоянии под действием входной последовательности, определяемой столбцом.

Часто таблицу переходов и выходов совмещают и пользуются совмещённой автоматной таблицей.

Для полного и точного описания блока Б3 построим совмещённую избыточную автоматную таблицу выходов и переходов. В данной таблице любое изменение входного или выходного сигнала будет приводить к переходу в новое состояние. Выходные сигналы Yв, Yн будем отмечать по модели Мура (в отдельных столбцах), сигналы Т, с - по модели Мили (в клетках автоматной таблицы, Т - первый сигнал после номера перехода, с - второй сигнал после номера перехода) [1, с.144 -149]. Переход в последующее состояние происходит по следующей входной последовательности в соответствии с циклом перемещений (изменение сигналов S1, S2, S3) или при исчезновении сигнала d = 1 (аварийная ситуация). Поэтому в каждой строке будет заполнено три клетки: приход в данное состояние и два выхода из него.

Размещено на http://www.allbest.ru/

Автоматная таблица выходов и переходов для блока Б3 по заданному циклу представлена в таблице 11.

Таблица 10. Автоматная таблица выходов и переходов блока Б3

t

t

t

t

t

t

t

t

УВ

УН

S3

S3

S3

S3

S2

S2

S1

d

0

100

000

000

000

000

000

000

0

0

0

1

200

100

000

0

1

1

2

200

300

000

0

1

2

3

400

300

000

1

0

3

4

400

500

000

1

0

4

5

600

500

000

0

1

5

6

600

700

000

0

1

6

7

800

700

000

1

0

7

8

800

9~0

000

1

0

8

9

1010

910

0~0

0

0

9

10

11~0

1010

0~0

1

0

10

11

1200

1100

000

1

0

11

12

1200

1300

000

1

0

12

13

1400

1300

000

0

1

13

14

1400

15~0

000

0

1

14

15

1610

1510

0~0

0

0

15

16

17~0

1610

0~0

1

0

16

17

1800

1700

000

1

0

17

18

1800

1900

000

1

0

18

19

2000

1900

000

0

1

19

20

2000

210~

000

0

1

20

21

2101

00~

0

0

21

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

А12

А13

А14

А15

Таблица 11. Треугольная таблица

1

2

*

3

4

*

5

*

*

6

*

*

*

7

*

*

8

*

9

10

*

*

*

*

11

*

*

*

*

*

12

*

*

*

*

*

*

13

*

*

*

*

14

*

*

*

15

16

*

*

17

*

*

*

18

*

*

*

*

19

*

*

20

*

*

21

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

· - совместимы.

Таблица 12. Таблица покрытия

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

A

*

B

*

*

*

*

*

C

*

*

*

*

*

D

*

*

*

*

*

*

E

*

*

*

*

*

F

*

*

*

G

*

*

*

H

*

*

*

I

*

*

*

*

*

J

*

K

*

M

*

*

*

*

*

*

*

Запишем минимальный класс совместимости и обозначим полученные группы совместимости новыми номерами состояний эквивалентного автомата.

0-A0- 0

1, 2, 6, 13 -B1, 2 - 1

3, 4, 7, 10, 11, 12, -D3, 4- 2

5, 6, 13- F5, 6- 3

7, 8, 10, 11, 12- H7, 8, 10, 11, 12- 4

9-J9- 5

6, 13, 14-G13, 14-6

15 -K15-7

16, 17, 18 -M16, 17, 18-8

13, 19, 20 -N19, 20-9

21 -O21-10

3. Кодирование состояний автомата

Таблица 13. Минимизированная автоматная таблица

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

100

000

000

000

000

000

000

0

0

1

100

100

200

000

000

0

1

2

200

300

200

000

000

000

1

0

3

300

300

400

000

000

0

1

4

400

400

600

410

5~0

400

000

0~0

000

000

000

1

0

5

410

510

0~0

0

0

6

600

600

7~0

000

000

0

1

7

810

710

0~0

0

0

8

800

800

900

810

0~0

000

000

1

0

9

900

900

100~

000

000

0

1

10

1001

00~

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

3.1 Кодирование состояний автомата

Получим разбиения следующего вида:

;

Определим пересечение полученных разбиений:

Для того, чтобы различить 4 неразличимых состояний необходимо еще 2 переменные кодирования. Таким образом, для кодирования состояний автомата по внешнему разбиению необходимо 4 переменных кодирования. При кодировании по внешнему разбиению возникают состязания элементов памяти на наборе А4, которые исправить затруднительно. Поэтому выполним кодирование по внутреннему разбиению.

Таблица 14. Коды состояний

0

0

0

0

0

1

1

0

0

0

2

1

1

0

0

3

0

1

0

0

4

0

1

1

0

5

0

0

1

0

6

0

0

1

1

7

0

0

0

1

8

1

0

0

1

9

1

1

0

1

10

0

1

0

1

Для определения состязаний элементов памяти построим автоматный граф.

4. Граф состояний и переходов минимизированного автомата

Таблица 15. Минимизированная закодированная автоматная таблица

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S3

P1

P2

P3

P4

d

0

100

000

000

000

000

000

000

0

0

0

0

0

0

1

100

100

200

000

000

0

1

1

0

0

0

2

200

300

200

000

000

000

1

0

1

1

0

0

3

300

300

400

000

000

0

1

0

1

0

0

4

400

400

600

410

5~0

400

000

0~0

000

000

000

1

0

0

1

1

0

5

410

510

0~0

0

0

0

0

1

0

6

600

600

7~0

000

000

0

1

0

0

1

1

7

810

710

0~0

0

0

0

0

0

1

8

800

800

90

810

0~0

000

000

1

0

1

0

0

1

9

900

900

100~

000

000

0

1

1

1

0

1

10

1001

00~

0

0

0

1

0

1

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Построение автоматного графа.

Рис. 9 - Граф состояний и переходов

Из автоматного графа видно, что состязания элементов памяти возникают на следующих переходах:

Таблица 16

Переход

Переключение кода

Наборы

2 0

1100 0000

А6А10А11

4 0

0110 0000

А6А8А9А10А11

6 0

0011 0000

А9А11

8 0

1001 0000

А8А10А11

9 0

1101 0000

А9А11

10 0

0101 0000

А7

4 6

0110 0011

А2

Устраним состязания, выполнив переходы через другие неустойчивые состояния. Для этого, в тех состояниях, через которые будем осуществлять переходы, наборы, на которых исправляются переходы, должны быть не заняты. Строим исправленный автоматный граф.

Рис. 10. Исправленный граф состояний переходов

На основании исправленного графа можем построить исправленную минимизированную закодированную автоматную таблицу:

Таблица 17. Исправленная закодированная минимизированная автоматная таблица

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

P1

P2

P3

P4

d

0

100

000

000

000

000

000

000

0

0

0

0

0

0

1

100

100

200

000

000

000

000

0

1

1

0

0

0

2

200

300

200

100

100

100

1

0

1

1

0

0

3

300

300

400

000

000

000

000

000

000

0

1

0

1

0

0

4

400

400

500

410

5~0

400

300

3~0

300

300

300

1

0

0

1

1

0

5

600

410

510

0~0

000

000

0

0

0

0

1

0

6

600

600

7~0

500

500

0

1

0

0

1

1

7

810

710

0~0

0~0

0~0

0~0

0~0

0

0

0

0

0

1

8

800

800

900

810

7~0

700

700

700

1

0

1

0

0

1

9

900

900

100~

800

800

0

1

1

1

0

1

10

1001

70~

0

0

0

1

0

1

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Размещено на http://www.allbest.ru/

5. Определение функций возбуждения памяти и выхода

Строим карты Карно для входов триггеров R1, S1, R2, S2, R3, S3.Для заполнения карт Карно можно использовать таблицу переходов RS-триггера:

Х сходное

RS

Х послед

0

~0

0

0

01

1

1

10

0

1

0~

1

Так как у нас для кодирования используется четыре переменные кодирования, то для каждой из них строим две карты Карно.

Таблица 18. Карта Карно для переменной R1

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

7

0

6

р4

5

р3

4

р4

10

3

р2

2

0

1

0

0

0

0

9

0

0

1

0

0

р4

р3

р1

р4

8

0

0

0

0

1

1

1

1

1

0

0

0

1

1

1

1

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 19. Карта Карно для переменной S1

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

1

0

0

0

0

0

0

7

1

0

0

0

0

0

0

6

0

0

0

0

0

р4

5

0

0

0

0

0

0

р3

4

0

0

0

0

0

0

0

0

0

0

0

р4

10

0

0

3

0

0

0

0

0

0

0

0

0

р2

2

0

9

0

р4

р3

р1

р4

8

0

0

0

0

1

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 20. Карта Карно для переменной R2

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

€0

7

6

р4

5

0

р3

4

0

0

1

0

1

0

0

0

0

0

0

р4

10

0

1

3

0

0

0

1

1

1

1

1

1

р2

2

0

0

0

1

1

1

9

0

0

0

1

1

р4

р3

р1

р4

8

0

1

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 21. Карта Карно для переменной S2

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

0

0

0

0

0

0

7

0

0

0

0

0

0

0

6

0

0

0

0

0

р4

5

0

1

0

0

0

0

р3

4

0

0

р4

10

0

3

0

0

0

0

0

0

р2

2

€ 0

0

0

0

9

0

0

р4

р3

р1

р4

8

0

0

1

0

0

0

0

0

1

0

0

1

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 22. Карта Карно для переменной R3

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

€1```

7

6

0

0

1

0

0

р4

5

0

0

0

1

1

1

р3

4

0

0

0

0

0

0

1

1

1

1

1

р4

10

3

0

р2

2

€ 0

9

0

0

р4

р3

р1

р4

8

1

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 23. Карта Карно для переменной S3

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

0

0

0

0

0

0

7

0

0

0

0

0

0

0

6

0

р4

5

0

0

0

р3

4

0

0

0

0

0

р4

10

0

0

3

0

0

1

0

0

0

0

0

0

р2

2

0

0

0

0

0

0

9

0

0

0

0

0

р4

р3

р1

р4

8

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 24. Карта Карно для переменной R4

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

7

0

0

1

1

1

1

1

6

0

0

0

1

1

р4

5

0

р3

4

р4

10

0

0

3

р2

2

9

0

0

0

0

0

р4

р3

р1

р4

8

0

0

0

0

0

0

0

0

1

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 25. Карта Карно для переменной S4

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

0

0

0

0

0

0

7

0

0

0

0

0

6

0

0

р4

5

1

0

0

0

0

0

р3

4

0

0

0

0

0

0

0

0

0

0

0

р4

10

3

0

0

0

0

0

0

0

0

0

р2

2

0

0

0

0

0

0

9

р4

р3

р1

р4

8

1

0

0

0

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 26. Карта Карно для переменной Т

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

0

0

0

0

0

0

7

1

1

0

0

0

0

6

0

0

0

0

р4

5

0

1

1

0

0

0

р3

4

0

0

0

1

0

0

0

0

0

0

р4

10

0

0

3

0

0

0

0

0

0

0

0

0

р2

2

0

0

0

0

0

0

9

0

0

0

0

0

р4

р3

р1

р4

8

0

0

0

1

0

0

0

0

1

0

0

0

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Таблица 27. Карта Карно для переменной с

t

S3

t

t

S3

t

S1

t

S3

t

S2

t

t

S2

S3

d

0

0

0

0

0

0

0

0

7

0

0

0

0

0

0

0

6

0

0

0

0

0

р4

5

0

0

0

0

0

0

р3

4

0

0

0

0

0

0

0

0

0

0

0

р4

10

1

3

0

0

0

0

0

0

0

0

0

р2

2

0

0

0

0

0

0

9

0

0

0

0

0

р4

р3

р1

р4

8

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

А0

А1

А2

А3

А4

А5

А6

А7

А8

А9

А10

А11

Для выходных переменных Yв, Yн строятся карты Карно, в которых указывается их зависимость только от внутренних переменных р1, р2, р3, p4.

Таблица 28. Карта Карно для переменной Yв

р2

р1

1

1

p4

р3

1

Таблица 29. Карта Карно для переменной Yн

р2

р1

1

1

1

p4

1

р3

Приведение функции в заданный базис.

Запишем полученные выражения для внутренних и выходных переменных в базисе операции Шеффера:

По полученным выражениям строим функциональную схему блока Б3.

Функциональная схема блока Б3 на бесконтактных элементах И-НЕ с реализацией памяти на RS - триггерах представлена на рисунке 10.

Рис. 11 - Функциональная схема блока Б3 на бесконтактных элементах И-НЕ с реализацией памяти на RS - триггерах

6. Выбор элементов. Построение принципиальной схемы устройства управления

Таблица 30. Элементы силовой цепи устройства управления

Позиционное обозначение

Кол-во

Функциональная схема

Принципиальная схема

Наименование

Тип

S4

SB1

Кнопка “Пуск”

КЕ011

1

S5

SB2

Кнопка “Экстренный останов”

КЕ021

1

S6

SB3

Переключатель режимов “Автомат/Наладка”

ВК44-21-10161-20УХЛ2

1

S7

SB4

Кнопка “Вперед”

КЕ011

1

S8

SB5

Кнопка “Назад”

КЕ011

1

,,

SA1, SA2, SA3

Конечный выключатель

КУ-701

3

T

KT1

Реле времени

РВК

1

Пускатель магнитный нереверсивный

ПМА-3210УЗБ

1

Пускатель магнитный нереверсивный

ПМА-3210УЗБ

1

Принципиальная схема устройства управления может быть реализована на любых логических элементах. В нашем случае схема должна быть реализована на элементах И-НЕ.

Логическую операцию И-НЕ реализуют интегральные микросхемы типа ЛА [2]. Каждый из корпусов интегральных схем (ИС) типа ЛА содержит от двух до четырёх логических элементов, а микросхемы ЛА2 и ЛА19 содержат по одному логическому элементу И-НЕ на восемь и на двенадцать входов соответственно.

Условные обозначения и цоколевка микросхем типа ЛА представлены на рисунке 14.

Рис. 14. Условные обозначения и цоколевка микросхем типа ЛА

Микросхемы ЛА7…ЛА11, ЛА13, ЛА18 имеют выходы с открытым коллектором. Для формирования выходного перепада напряжения к выходу такого элемента необходимо подключить нагрузку. В качестве нагрузки могут выступать сегменты индикаторов, лампы накаливания, светодиоды, обмотки реле.

Для построения бесконтактной схемы используем микросхемы 155 серии. Так же будет использован асинхронный RS-триггер. Выходные сигналы Хв, Хн будем формировать с помощью микросхем с открытым коллектором для подключения обмоток промежуточного реле, которые будут включать силовые контакты.

Для схемы будем использовать следующие микросхемы:

К155ЛА3-DD1-DD8;

K155ЛА4- DD9 -DD13;

К155ЛА1-DD14 - DD21.

К155ТР2 - четыре RS - триггера - DD22-DD24

управление автомат устройство графический

7. Проверка правильности работы устройства управления

Для проверки правильности работы устройства управления необходимо построить проверочную таблицу. В данной таблице отмечают реакцию управляющего устройства на входную последовательность сигналов. Для этого по значениям входных и внутренних сигналов в данный момент времени по полученным выражениям вычисляют значения выходных сигналов и внутренних сигналов в следующий момент времени. По этим сигналам проверяется, выполняется ли заданный цикл перемещений.

Проверочная таблица строится для блока Б3, так как данный блок имеет наиболее сложный алгоритм работы.

При заполнении таблицы необходимо придерживаться следующей последовательности. Задаёмся первым входным набором (нахождение каретки в исходном положении) и начальным значением внутренних сигналов (код исходного внутреннего состояния). На основании значений данных сигналов вычисляем значения выходных сигналов и последующих внутренних сигналов. Если значения последующих внутренних сигналов отличаются от значений предыдущих внутренних сигналов (переход из состояния в состояние), то на следующем шаге для вычислений берём тот же входной набор и полученные на предыдущем шаге значения внутренних сигналов. Если значения последующих внутренних сигналов не отличаются от значений предыдущих внутренних сигналов (автомат находится в устойчивом состоянии), то на следующем шаге для вычислений берём следующий входной набор и полученные на предыдущем шаге значения внутренних сигналов. Таким образом, в проверочной таблице должны отражаться все переходы, отмеченные в исправленной закодированной минимизированной автоматной таблице.

Для построения проверочной таблицы может использоваться редактор таблиц Excel.

Для вычисления выходных сигналов RS-триггера может использоваться следующее выражение

где S, R - значения входных сигналов триггера в данный момент времени;

X(t) - значение выходного сигнала в данный момент времени;

X(t +1) - значение выходного сигнала в последующий момент времени.

Проверочная таблица для рассматриваемого примера будет иметь следующий вид.

Таблица 31

Входные сигналы

Предыдущиевнутренние

Входные сигналы триггеров

Последующие внутренние

Выходные сигналы

d

S1

S2

S3

t

р1

р2

р3

p4

R1

S1

R2

S2

R3

S3

R4

S4

р1

р2

р3

p4

Т

с

1

0

0

1

0

0

0

0

0

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

0

0

2

1

0

1

0

0

0

0

0

0

0

1

0

0

0

0

0

0

1

0

0

0

0

1

0

0

3

1

0

1

0

0

1

0

0

0

0

1

0

0

0

0

0

0

1

0

0

0

0

1

0

0

4

1

0

0

0

0

1

0

0

0

0

1

0

0

0

0

0

0

1

0

0

0

0

1

0

0

5

1

1

0

0

0

1

0

0

0

0

1

0

1

0

0

0

0

1

1

0

0

1

0

0

0

6

1

1

0

0

0

1

1

0

0

0

0

0

1

0

0

0

0

1

1

0

0

1

0

0

0

7

1

0

0

0

0

1

1

0

0

0

0

0

0

0

0

0

0

1

1

0

0

1

0

0

0

8

1

0

1

0

0

1

1

0

0

1

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

9

1

0

1

0

0

0

1

0

0

1

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

10

1

0

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

11

1

1

0

0

0

0

1

0

0

0

0

0

0

0

1

0

0

0

1

1

0

1

0

0

0

12

1

1

0

0

0

0

1

1

0

0

0

0

0

0

1

0

0

0

1

1

0

1

0

0

0

13

1

0

0

0

0

0

1

1

0

0

0

0

0

0

0

0

0

0

1

1

0

1

0

0

0

14

1

0

1

0

0

0

1

1

0

1

0

1

0

0

0

0

0

0

0

1

0

0

0

1

0

15

1

0

1

0

0

0

0

1

0

0

0

1

0

0

0

0

0

0

0

1

0

0

0

1

0

16

1

0

1

0

1

0

0

1

0

0

0

0

1

0

0

0

0

0

1

1

0

1

0

1

0

17

1

0

1

0

1

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

0

1

0

1

0

18

1

0

0

0

1

0

1

1

0

0

0

0

0

0

0

0

0

0

1

1

0

1

0

0

0

19

1

0

0

0

0

0

1

1

0

0

0

0

0

0

0

0

0

0

1

1

0

1

0

0

0

20

1

0

0

1

0

0

1

1

0

0

0

1

0

0

0

0

1

0

0

1

1

0

1

0

0

21

1

0

0

1

0

0

0

1

1

0

0

1

0

0

0

0

0

0

0

1

1

0

1

0

0

22

1

0

0

0

0

0

0

1

1

0

0

0

0

0

0

0

0

0

0

1

1

0

1

0

0

23

1

0

1

0

0

0

0

1

1

0

0

0

0

1

0

0

0

0

0

0

1

0

0

1

0

24

1

0

1

0

0

0

0

0

1

0

0

0

0

1

0

0

0

0

0

0

1

0

0

1

0

25

1

0

1

0

1

0

0

0

1

0

1

0

1

1

0

0

0

1

1

0

1

1

0

1

0

26

1

0

1

0

1

1

1

0

1

0

1

0

1

1

0

0

0

1

1

0

1

1

0

0

0

27

1

0

0

0

1

1

1

0

1

0

0

0

0

0

0

0

0

1

1

0

1

1

0

0

0

28

1

0

0

0

0

1

1

0

1

0

0

0

0

0

0

0

0

1

1

0

1

1

0

0

0

29

1

0

0

1

0

1

1

0

1

0

0

0

1

0

0

0

0

1

1

0

1

0

1

0

0

30

1

0

0

1

0

1

1

0

1

0

0

0

1

0

0

0

0

1

1

0

1

0

1

0

0

31

1

0

0

0

0

1

1

0

1

0

0

0

0

0

0

0

0

1

1

0

1

0

1

0

0

32

1

0

1

0

0

1

1

0

1

1

0

0

0

1

0

0

0

0

1

0

1

0

0

0

0

33

1

0

1

0

0

0

1

0

1

1

0

0

0

1

0

0

0

0

1

0

1

0

0

0

1

34

0

0

1

0

0

0

1

0

1

1

0

1

0

1

0

1

0

0

0

0

0

0

0

0

1

35

0

0

1

0

0

0

0

0

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

0

0

Список использованных источников

1. Ершова Э.Б., Рогинский В.Н., Маркин Н.П. Основы дискретной автоматики в электросвязи. - М.: Связь, 1980.

2. Цифровые интегральные микросхемы: Справочник/ М.И. Богданович, И.Н. Грель, С.А. Дубина и др. - 2-е изд., перераб. и доп. - Мн.: Беларусь, Полымя. 1996.

3. Мигдалёнок А.А. Учебно-методическое пособие к курсовой работе по дисциплине «Проектирование дискретных систем управления». - Мн.: 2009.

Размещено на Allbest.ru


Подобные документы

  • Построение графа синтезируемого автомата. Определение количества элементов памяти. Составление таблицы переходов, выходов и возбуждения конечного автомата. Переход от исходного автомата Мили к эквивалентному автомату Мура. Алгоритмы вычисления функций.

    курсовая работа [714,7 K], добавлен 21.05.2013

  • Процесс разработки структуры устройства управления узлом ЭВМ. Синтез функций возбуждения, входного и выходного алфавита на базе мультиплексора. Синтез интерфейса с пользователем с использованием мультиплексоров. Отладка синтезируемого автомата в EWB.

    курсовая работа [1,6 M], добавлен 26.01.2013

  • Электронный автомат с заданными входными сигналами и контролируемыми параметрами. Структурный синтез управляющего автомата. Направленный граф абстрактного автомата. Кодирование внутренних состояний и выбор типа памяти. Выбор элементов и микросхем.

    курсовая работа [933,1 K], добавлен 29.07.2009

  • Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата.

    курсовая работа [24,7 K], добавлен 01.04.2010

  • Синтез дискретного устройства, его структурная схема. Расчет дешифратора и индикаторов, их проектирование. Карты Карно. Синтез счетной схемы. Делитель частоты. Проектирование конечного автомата и его описание. Анализ сигналов и минимизация автомата.

    курсовая работа [217,8 K], добавлен 21.02.2009

  • Исследование структурной схемы цифрового автомата и операционного устройства. Алгоритм функционирования цифрового автомата в микрооперациях. Кодирование его состояний. Характеристика функций возбуждения триггеров и формирования управляющих сигналов.

    курсовая работа [3,6 M], добавлен 06.12.2013

  • Структурный синтез управляющего автомата. Кодирование внутренних состояний и выбор памяти. Составление таблицы траекторий. Выбор микросхем и аналоговых элементов. Устройства сопряжения и нормализация шкалы датчика. Устройство коммутации с элементами.

    курсовая работа [206,1 K], добавлен 23.02.2009

  • Элементы автоматического управления. Проектирование цикловой дискретной системы автоматического управления с путевым контроллером. Исходный граф, схема механизмов и граф функционирования устройства. Синтез логических функций управления выходами.

    контрольная работа [783,3 K], добавлен 17.08.2013

  • Порядок нахождения корней характеристического полинома замкнутой системы. Синтез дискретных систем по заданным показателям качества. Расчет алгоритма функционирования устройства, обеспечивающего астатизм первого порядка по задающему воздействию.

    контрольная работа [280,4 K], добавлен 20.08.2015

  • Исследование абстрактного цифрового автомата Мили заданного устройства. Алгоритм его работы, таблицы прошивки и возбуждения постоянного запоминающего устройства. Составление функции возбуждения, функциональной и электрической принципиальной схемы.

    курсовая работа [758,5 K], добавлен 18.02.2011

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.