Светодинамические установки

VHDL-модель устройства управления светодинамической индикацией. Язык описания аппаратуры для высокоскоростных интегральных схем VHSIC, называемый VHDL, является формальной записью, которая может использоваться на всех этапах разработки электронных систем.

Рубрика Производство и технологии
Вид дипломная работа
Язык русский
Дата добавления 09.03.2009
Размер файла 1,7 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Использование показателей себестоимости на практике, во всех случаях требует обеспечения единообразия затрат, учитываемых в ее составе. Для обеспечения такого единообразия, конкретный состав расходов, относимых на себестоимость, регламентируется Типовым положением по планированию, учету и калькулированию себестоимости продукции (услуг, работ) в промышленности (постановление КМ 19.01.2000г №27/4248).

Целью учета себестоимости продукции является полное и достоверное определение фактических затрат, связанных с разработкой, производством и сбытом продукции.

Затраты, включенные в себестоимость продукции группируются по следующим элементам:

- материальные затраты;

- затраты на оплату труда;

- отчисления на социальные мероприятия;

- другие затраты.

6.2.1 Материальные расходы

К материальным затратам относятся затраты на сырье и материалы, а также на покупные комплектующие изделия (ПКИ) с учетом транспортно-заготовительных расходов.

Расчет затрат на сырье и материалы ведется по формуле:

, (6.1)

где - норма расхода i-го материала на единицу продукции;

- цена единицы i-го вида материала;

отк- стоимость отходов (10 % от стоимости материала);

- количество видов материала.

Расчет стоимости сырья и материалов представлен в таблице 6.2.

Таблица 6.2 - Расчет стоимости сырья и материалов

Наименование материала

Норма расхода, кг

Цена за 1 кг,

грн

Сумма,

грн

Полистирол высокого давления ПДВ-10/50

0,50

20,00

10,00

Стеклотекстолит СФ 2-50-08

5,00

0,50

2,50

Припой ПОС-61

0,10

37,00

3,70

Флюс ЛТИ-120

0,03

25,00

0,75

Итого Смат

16,95

Стоимость отходов определяется по формуле:

, (6.2)

,

Аналогично затратам на сырье и материалы рассчитываются затраты на покупные комплектующие изделия (таблица 6.3).

Таблица 6.3 - Затраты на покупные комплектующие изделия

Наименование

Кол-во, штук

Цена за штуку, грн

Сумма, грн

Резистор С2-29

14

0,04

0,60

Резистор подстроечный СП3-27а

1

0,50

0,50

Конденсатор К50-16

4

0,80

3,20

Конденсатор керамический (КМ6)

4

0,40

1,60

Диод КД202Р, КД208А, КД521

12

0,50

6,00

Светодиод АЛ102

4

0,15

0,60

Тиристор КУ202Н

4

1,50

6,00

Микросхема MAX3000

1

11,00

11,00

Микросхема КР142ЕН5А

1

2,00

2,00

Трансформатор ТС10

1

10,00

10,00

Транзистор КТ315А

1

1,50

1,50

Корпус

1

30,00

30,00

Шнур

1

5,00

5,00

Итого

78,00

Транспортно-заготовительные расходы принимаются в размере 12 % от стоимости сырья, материалов и покупных комплектующих изделий и в денежном выражении составляют 11,40 грн.

6.2.2 Затраты на оплату труда

К затратам на оплату труда относится основная и дополнительная заработная плата персонала, занятого выполнением конкретных работ: научные работники, научно - вспомогательный персонал и производственные рабочие. Расчет затрат на основную заработную плату приведен в таблице 6.4.

Таблица 6.4 - Расчет затрат на основную заработную плату на разработку устройства управления светодинамической индикацией

Должность

Оклад, грн

Количество месяцев

Долевое участие, %

Сумма, грн

Руководитель

700,00

3

20

420,00

Инженер

450,00

3

80

1080,00

Итого

1500,00

Для расчета заработной платы производственных рабочих необходимо определить трудоемкость изготовления изделия, которая определяется в виде суммарной трудоемкости технологических процессов изготовления изделия по формуле:

, (6.3)

где - трудоемкость изготовления i-ой составной части изделия, нормо-час;

т - количество составных частей.

В ряде случаев для определения трудоемкости можно использовать статистические зависимости. Так, например, для определения трудоемкости монтажа блоков, выполненных на интегральных микросхемах, применяется формула:

, (6.4)

где х - число паек в сотых долях.

В данной схеме число паек приблизительно равно 320, значит х = 3,2.

Таким образом, трудоемкость монтажа блоков, выполненных на интегральных микросхемах, равна:

.

Трудоемкость монтажа блоков примем равной 18 н.ч.

Работу выполняет сборщик 5-го разряда.

Заработная плата производственных рабочих вычисляется по формуле:

(6.5)

(6.6)

(5.7)

Доплата принимается в размере 12% от заработной платы производственных рабочих:

(6.8)

Таким образом:

Итого заработная плата составляет:

(6.9)

6.2.3 Дополнительная заработная плата

Дополнительная заработная плата включает в себя доплаты, надбавки, гарантийные и компенсационные выплаты, предусмотренные законодательством Украины, и составляет 10% от Зосн :

6.2.4 Отчисления на социальные мероприятия

К отчислениям на социальные мероприятия относятся:

- отчисления на государственное (обязательное) социальное страхование, включая отчисления на обязательное медицинское страхование - 2,5% от осндоп) ;

- отчисления на государственное (обязательное) пенсионное страхование (в Пенсионный фонд) - 32% от осндоп) ;

- отчисления в Фонд содействия занятости населения 2,5% от осндоп);

- отчисления на индивидуальное страхование персонала предприятия - 1% от .

6.2.5 Расходы на содержание и эксплуатацию оборудования

К статье калькуляции “Расходы на содержание и эксплуатацию оборудования” (РСЭО) относятся расходы на содержание и эксплуатацию производственного и подъемно-транспортного оборудования, амортизационные отчисления от стоимости производственного оборудования, расходы на ремонты на содержание цеховых транспортных средств, стоимости услуг КИП и автоматики и т.д.

В данной работе РСЭО принимается в размере 40% от производственных рабочих.

6.2.6 Общепроизводственные расходы

К общепроизводственным расходам относятся затраты на управление производством; на амортизацию основных средств общезаводского назначения; на расходы некапитального характера, связанные с усовершенствованием технологий и организации производства, улучшением качества продукции, повышением ее надежности, долговечности и других эксплуатационных свойств; расходы на обслуживание производственного процесса.

Принимаются в размере 30% от Зосн.:

Зобщ.р.= =472,74(грн)

6.2.7 Административные расходы

В этом разделе обобщаются расходы, которые направлены на обслуживание и управление фирмой: расходы, связанные с управлением предприятия, с содержанием и обслуживанием основных средств, с обслуживанием производственного процесса. Сюда относятся налоги, сборы и другие предусмотренные законодательством обязательные платежи, а также затраты, связанные с профессиональной подготовкой или переподготовкой работников аппарата управления и другого общехозяйственного персонала. Расходы принимаем в размере 20% от .

6.2.8 Расходы на сбыт

К расходам на сбыт принадлежат затраты, связанные с реализацией (сбытом) продукции (товаров, работ, услуг) и включает расходы на содержание подразделений предприятия, которые связаны со сбытом продукции; тару упаковку продукции; расходы по доставке продукции н а станцию отправления и на погрузку в транспортные средства; комиссионные сборы и т.д. Принимаем в размере 5% от производственной себестоимости.

По результатам проведенных расчетов составляется калькуляция себестоимости, приведенная в таблице 6.5.

Таблица 6.5 - Калькуляция себестоимости

Наименование статей калькуляции

Сумма, грн

Сырье и материалы

15,25

Покупные комплектующие изделия

78,00

Транспортно - заготовительные расходы

11,40

Основная заработная плата

1575,8

Дополнительная заработная плата

157,58

Отчисления на социальные мероприятия

в том числе: социальное страхование

пенсионное страхование

фонд содействия занятости населения

отчисления на индивидуальное страхование

43,33

554,68

43,33

17,33

Общепроизводственные расходы

472,74

Расходы на содержание и эксплуатацию оборудования

30,32

Производственная себестоимость

3089,71

Административные расходы

315,16

Расходы на сбыт

154,49

Полная себестоимость

3559,36

Прибыль (20%)

889,84

НДС (20%)

889,84

Цена продажи

5249,09

В заключении следует отметить, что в результате проведенных расчётов по разработке устройства управления светодинамической индикацией определены себестоимость и цена изделия. По сравнению с имеющимися аналогами цена разработанного устройства в 2 раза ниже, при этом оно не уступает аналогам по основным параметрам. Разработка устройства завершается созданием и передачей заказчику одного экземпляра изделия на основе разового заказа. При серийном выпуске устройства управления светодинамической индикаций цена снизится примерно в 3 раза.

7 ОХРАНА ТРУДА И ОКРУЖАЮЩЕЙ СРЕДЫ

7.1 Общие вопросы охраны труда и окружающей среды

При значительной интенсивности труда, которая наблюдается при переходе к рыночным отношениям, нельзя пренебрегать вопросами сохранения здоровья и обеспечения безопасности людей, вовлеченных в производство. Внедрение новых технологий и новых видов техники требует постоянного внимания к вопросам обеспечения безопасных и высокопроизводительных условий труда, ликвидации производственного травматизма и профессиональных заболеваний.

Охрана труда - система правовых, социально-экономических, организационно-технических, санитарно-гигиенических и лечебно-профилактических мероприятий и средств, направленных на охрану здоровья и работоспособности человека в процессе труда (Закон Украины “Об охране труда” от 21.11.02) [11].

Охрана труда должна осуществляться на научной основе, которую составляют следующие условия: внедрение новой безопасной техники, прогрессивные методы организации труда и технологии производства, применение защитных средств и приспособлений, обеспечивающих снижение травматизма.

Современная действительность диктует повсеместное использование компьютерной техники, в том числе в автоматике и других отраслях промышленности. В связи с этим возникла необходимость разработки санитарно - гигиенических норм и защитных мер при работе с компьютерами.

Тема данного проекта: “Устройство управления светодинамической индикацией”. В представленном дипломном проекте главным этапом реализации объекта разработки является написание VHDL-описания работы разрабатываемого устройства.

При разработке данного проекта применялся компьютер фирмы Intel серии Celeron 800.

Важной составляющей трудового процесса использования ПЭВМ является значительная информационная нагрузка и как следствие - нервное переутомление. Причиной его возникновения может быть несоответствие реального результата и ожидаемого, чрезмерная интенсивность информационных потоков, которая не соответствует индивидуальным возможностям человека, неблагоприятное воздействие производственной среды и другие факторы, вызывающие стрессовое состояние у человека. Поэтому для научно обоснованного подхода к оптимизации умственного труда, получение необходимых данных оптимальных условий труда должно осуществляться комплексно с применением знаний по промышленной гигиене и эргономике.

При выполнении данного проекта использовалась такая техника: персональный компьютер, принтер, сканер, ксерокс.

Перечисленное оборудование использует напряжение промышленной электросети до 1000В, поэтому необходимо выполнять правила по безопасному ведению работы с электрооборудованием - ПУЭ-87 [12].

При работе на ПЭВМ на человека воздействует ряд опасных и вредных факторов, которые классифицируются согласно ГОСТ 12.0.003-74 [13]. Опасным фактором называется фактор, вызывающий травму или повреждение организма. Вредный фактор _ фактор, длительное воздействие которого приводит к патологии в организме _ профессиональным заболеваниям. Эти факторы разделяются на физические, химические, биологические и психофизические основные из них приведены в таблице 7.1.

Таблица 7.1 _ Перечень опасных и вредных факторов

Наименование фактора

Источник возникновения фактора

Характер воздействия на человека

Нормированные параметры и нормативные значения

Норматив-

ный документ

1 Повышенный уровень статического электричества

ЭЛТ

Опасность поражения током, раздражение кожи

Потенциал не более 500 В

ГОСТ12.1. 038-82 [14]

2 Повышенный уровень шума

Устройства охлаждения ЭВМ, печатающие устройства

Утомление слуховых анализаторов

Уровень звука

L < 50 дБА

ГОСТ12.1. 003-83 [15]

3 Повышенная пульсация светового излучения

Лампы дневного света

Утомление зрения

Коэффициент пульсаций, Кп=10

СНиП II-4-79 [16]

4 Статическая нагрузка

Постоянная рабочая поза

Влияние на ЦНС, утомление организма

НПАОП 0.00-1.31-99 [17]

5 Недостаток естественного освещения

Неправильное расположение ПЭВМ

Утомление зрительного анализатора

КЕО=1, 0125%

СНиП II-4-79 [16]

6 Недостаток искусственного освещения

Неправильная планировка систем освещения

Утомление зрительного анализатора

Минимальная освещенность

Е = 500-700лк

СНиП II-4-79 [16]

7 Отраженная блескость

Неправильное расположение ПЭВМ

Утомление зрительного анализатора

Должна отсутствовать в поле зрения

НПАОП 0.00-1.31-99 [17]

8 Монотонность труда

Особенности технологическо-

го процесса

Влияние на ЦНС, утомление организма

НПАОП 0.00-1.31-99 [17]

9 Повышенное значение напряжения в электрической цепи

Электрообору-

дование

Опасность поражения электричеством

Сила тока I=0.6 mA при U=220 V

ГОСТ 12.1.038-82 [14]

10 Яркость экрана

Экран монитора ПЭВМ

Утомление зрительных анализаторов

В = 100 кд/м2

НПАОП 0.00-1.31-99 [17]

11 Контраст-ность изображения

Экран монитора ПЭВМ

Утомление зрительных анализаторов

к=(Вфо)/Вф= =0,9 , где:
Вф - яркость фона;
Во - яркость объекта

НПАОП 0.00-1.31-99 [17]

7.2 Производственная санитария

Производительность труда во многом зависит от условий на производстве, таких как: освещение, состав воздуха, шумы, вредные излучения. Каждый из параметров по отдельности и в совокупности с другими влияет на состояние организма человека, определяя его самочувствие.

7.2.1 Метеорологические условия помещения

Категория выполняемой работы относится к легкой физической Iа: работа, производимая сидя, не требующая систематического физического напряжения; энергозатраты до 120 ккал/ч., но умственно напряженной (ГОСТ 12.1.005-88 [18]).

Согласно ГОСТ 12.1.005-88 [18] оптимальные параметры микроклимата для выполнения работы должны находиться в пределах, указанных в таблице 7.2. Параметры являются оптимальными, так как категория работы III напряженная.

Таблица 7.2 - Оптимальные параметры микроклимата

Категория работы

Период года

Температура t, c

Относительная влажность, ?,%

Скорость движения воздуха V, м/с

Легкая работа Iа

Холодный

22...24

40...60

0,1

Легкая работа Iа

Теплый

23...25

40...60

0,1

Для обеспечения вышеуказанных оптимальных метеорологических условий в помещении предусмотрена система отопления (общее паровое), вентиляции (общая приточно-вытяжная искусственная) и кондиционирование согласно СНиП 2.04.05-91 [19].

Расчет необходимого количества кондиционеров, должен проводиться по теплоизбыткам от машин, людей, солнечной радиации.

Режим работы кондиционера должен обеспечить максимально возможное поступление наружного воздуха, но не менее 50% от производительности кондиционера.

При проектировании дисплейных классов вузов необходимо предусматривать приточно-вытяжную вентиляцию. Подача воздуха должна производиться в верхнюю зону малыми скоростями из расчета создания подвижности воздуха на рабочем месте студента менее 0,1 м/с, лучше через подшивной гофрированный потолок. Вытяжка - естественная из верхней зоны стены, противоположной оконным проемам.

Качественный состав воздуха: содержание кислорода в дисплейном классе должно быть в пределах 21-22 об. %. Двуокись углерода не должна превышать 0,1 об. %, озон - 0,1 мг/м3, аммиак - 0,2 мг/м3, фенол - 0,01 мг/м3, хлористый винил - 0,005 мг/м3, формальдегид - 0,003 мг/м3 [18].

7.2.2 Характеристика производственного помещения

Разработка данного проекта производилась в трехэтажном здании Электрокорпуса на кафедре “Автоматика и управление в технических системах”.

По категории пожароопасности здание относится к категории В - ОНТП-24-86 [20], класс по пожарной опасности оборудования закрытого типа - П-IIа ПУЭ-87 [12], огнестойкость конструкции здания - II степени, согласно ДБН В1.1-7-2002 [21]. В помещениях имеется система пожаротушения в соответствии с ГОСТ 12.1.004-91 [22]. Для обеспечения в помещении заданного температурного режима в соответствии с требованиями СНиП 2.04.05-91 [19] имеется централизованное отопление, вентиляция, кондиционер.

7.2.3 Виды вентиляции

Воздух поступающий в помещение должен быть очищен от загрязнения, в том числе от микроорганизмов и пыли.

В соответствии со СНиП 2.04.05-91 [19], вентиляция обеспечивает поддержание санитарно-гигиенических норм температуры, влажности, запыленности воздуха в рабочих помещениях. Для обеспечения необходимых санитарно-гигиенических параметров воздушной среды при эксплуатации устройства в помещении имеется естественная и искусственная вентиляция. Естественная вентиляция осуществляется через оконные проёмы и двери. Основной недостаток естественной вентиляции заключается в том, что приточный воздух вводится в помещение без предварительной очистки и подогрева, а удаляемый воздух не очищается и следовательно, загрязняет атмосферу.

Искусственная вентиляция осуществляется системой отопления, а также с помощью приточно-вытяжной вентиляции с механическим побуждением и местной вентиляцией. Забор воздуха извне производится вентилятором через калорифер, где воздух нагревается и увлажняется, а затем подается в помещение. Количество подаваемого воздуха регулируется клапанами или заслонками. Вытяжная система вентиляции удаляет загрязненный и перегретый воздух через сеть воздуховодов при помощи вентилятора. Чистый воздух подсасывается через окна и двери. Загрязненный воздух перед выбросом наружу очищается.

Воздух внутри рабочего помещения может оказаться насыщенным пылью, попадающей туда одновременно с забором неочищенного воздуха с улицы. Поэтому необходимо проводить влажную уборку помещения, а также регулярно проветривать его.

7.2.4 Естественное и искусственное освещение

Работоспособность оператора во многом зависит от освещения. Неудовлетворительное освещение количественно или качественно утомляет не только зрение, но и вызывает утомление организма в целом, оказывает влияние на производительность труда оператора.

Для обеспечения нормального освещения применяется естественное, искусственное и смешанное освещения, которые нормируются СНиП 4-II-79 [16].

Лаборатория обеспечивается боковым естественным освещением в светлое время суток, в темное - системой общего искусственного освещения.

Нормированные значения КЕО, согласно СНиП 4-II-79 [16], для зданий, расположенных в I, II, IV, V поясах светового климата, определяются по следующей формуле:

(7.1)

где - значение КЕО для III пояса светового климата, составляет 1.5 [6]

m _ коэффициент светового климата (для г. Харькова m=0,9 % - СНиП 4-II-79 [16]);

c _ коэффициент солнечности климата, равен 0,75 т.к. окна расположены на южной стороне здания - СНиП 4-II-79 [16].

Значение КЕО для естественного освещения:

е = 1.5*0.9*0.75=1.0125%.

В лаборатории применяется общее искусственное равномерное освещение. Данные по нормам освещения для создания комфортных условий зрительной работы приведены в таблице 7.3.

Таблица 7.3 - Характеристики производственного освещения

Точность зритель-ных работ

Минима-

льный размер объекта различе-ния, мм

Разряд зритель-ной работы

Харак-терис-тика типа фона

Контраст объекта с фоном

Подраз-ряд зритель-ной работы

Нормировочное значение при освещении

Естественном еHN, %

Искуст-ном Еmin,

Средней точности

0,51

IV

Сред-няя

малый

1.0125

300

Все производственные помещения, с постоянным пребыванием в них людей в соответствии с санитарными нормами и правилами, имеют естественное освещение.

7.2.5 Статическое электричество

Защита от статического электричества производится в соответствии с санитарно-гигиеническими нормативами допустимой надежности электрического поля. Допускаемые напряженности электрических полей не должны превышать 20 кВ/м в течение 1 часа, ГОСТ 12.1.045-84 [23].

7.3 Пожарная безопасность

Пожарная безопасность - состояние объекта, при котором с установленной вероятностью исключается возможность возникновения и развития пожара. Пожарная безопасность в соответствии с ГОСТ 12.1.004-91 [22] обеспечивается системами предотвращения пожара, пожарной защиты, организационно-техническими мероприятиями.

Система предотвращения пожара:

контроль и профилактика изоляции;

наличие плавких вставок и предохранителей в электронном оборудовании;

для защиты от статического напряжения используется заземление;

молниезащита зданий и оборудования согласно РД 34.21.122-87 [24].

Для данного класса зданий и местности со средней грозовой деятельностью 10 и более грозовых часов в год, т.е. для условий г. Харькова установлена III категория молниезащиты [24].

Для успешной эвакуации персонала при пожаре размеры двери рабочего помещения должны быть следующими:

- ширина двери не менее 1,5 м.,

- высота двери не менее 2,0 м.,

- ширина коридора 1,8 м.;

- рабочее помещение должно иметь два выхода;

- расстояние от наиболее удаленного рабочего места не должно превышать 100 м.

Организационные меры пожарной профилактики:

обучение персонала правилам пожарной безопасности;

издание необходимых инструкций и плакатов, плана эвакуации персонала в случае пожара.

7.4 Охрана окружающей среды

Задачей законодательства об охране окружающей среды является регулирование отношений в области охраны, использования и воспроизводства природных ресурсов, обеспечение экологической безопасности, предупреждение и ликвидация отрицательного воздействия любой деятельности на окружающую среду.

В данном случае при разработке устройства и программы не применяются материалы и технологии, наносящие вред окружающей среде. Разработчик использует санитарно-гигиенические места общего пользования с разработанной безопасной для окружающей среды системой очистки.

В данной работе используется ПЭВМ, поэтому целесообразно отметить, что при массовом производстве мониторов и компьютеров нельзя не учитывать их влияние на окружающую среду на всех стадиях их “жизни” - при изготовлении, эксплуатации и после окончания срока службы.

При изготовлении действуют экологические стандарты, которые определяют требования к производству и материалам, использующимся в конструкциях приборов. Они не должны содержать фреонов, хлоридов, бромидов и поливинилхлорида [ТСО'95, BS 7750]. ТСО'95 включают требования пониженного энергопотребления и ограничивают допустимые уровни мощности, потребляемые в неактивном состоянии.

Работа на используемом ПК не оказывает вредного воздействия на окружающую среду. После истечения срока службы он полностью подлежит вторичной обработке, а также все используемые в данной работе материалы допускают нетоксичную переработку после использования.

ЗАКЛЮЧЕНИЕ

В данном бакалаврском проекте проведена разработка устройства управления светодинамической индикацией. Данное устройство обладает следующими достоинствами: невысокая себестоимость, большое число реализуемых световых эффектов, выдержка времени перед началом работы, отсутствие нединамичных (все погашены, все включены) режимов работы. Были проведены расчеты электрических параметров устройства, а также расчет надежности радиотехнических элементов. Также выполнено моделирование работы схемы на языке описания аппаратуры VHDL, построены временные диаграммы и графы состояний. Полученное описание на языке VHDL позволяет синтезировать заказную БИС, реализующую устройство в одной микросхеме.

СПИСОК ИСТОЧНИКОВ ИНФОРМАЦИИ

1. Шило В.Л. Популярные цифровые микросхемы: Справочник. - М.: Радио и связь, 1988. - 352 с.

2. Лавриненко В.Ю. Справочник по полупроводниковым приборам. - К.: Техника, 1984. - 424 с.

3. Григорьянц В.Г. Импульсные схемы РЛС. - М.: Воениздат, 1981.

4. Источники электропитания на полупроводниковых приборах. Проектирование и расчет. Под ред. Додика С.Д. и Гальперина Е.И. М.: Советское радио, 1969.

5. Тутевич В.Н. Телемеханика. - М.: Высшая школа, 1985.

6. Градиль В.П. и др. Справочник по Единой системе конструкторской документации /В. П. Градиль, А. К. Моргун, Р. А. Егацин, под ред. А. Ф. Раба .- 4е изд., перераб. и доп. - Х.: Прапор, 1988.

7. Ивченко В.Г. Применение языка VHDL при проектировании специализированных СБИС: Учебное пособие. Таганрог: Изд-во ТРТУ, 1999.

8. Перельройзен Е.З. Проектируем на VHDL - М.: СОЛОН-Пресс, 2004.

9. Поляков А.К. Языки VHDL и Verilog в проектировании цифровой аппаратуры - М.: СОЛОН-Пресс, 2003. - 320 с.: ил.

10. Бибило П.Н. Синтез логических схем с использованием языка VHDL - М.: СОЛОН-Р, 2002.

11. Закон Украины об охране труда - 21.11.02.

12. ПУЭ. Правила устройства электроустановок. - М.: Энергоатомиздат.

13. ГОСТ 12.0.003-74. ССБТ. Опасные и вредные производственные факторы. Классификация. Введен 01.01.76.

14. ГОСТ 12.1.038-82. ССБТ. Электробезопасность. Предельно допустимые значения напряжения прикосновения и токов. - Введен 01.01.88.

15. ГОСТ 12.1.003-83. ССБТ. Шум. Общие требования безопасности. - Введён 01.07.89.

16. СНиП II-4-79. Естественное и искусственное освещение. Нормы проектирования. - М.: Стройиздат, 1980.

17. НПАОП 0.00-1.31-99 Правила охорони праці при експлуатації електронно-обчислювальних машин. Діє з 01.01.00.

18. ГОСТ 12.1.005-88. ССБТ. Общие санитарно-гигиенические требования к воздуху рабочей зоны. Введен 01.01.89.

19. СНиП 2.04.05-91. Отопление, вентиляция и кондиционирование воздуха. -М.: Стройиздат, 1992 г.

20. ОНТП 24-86.Общесоюзные нормы технического проектирования. Определение категорий помещений и зданий по взрывопожарной и пожарной безопасности. М.: Стройиздат, 1986.

21. ДБН В 1.1-7-2002 Пожежна безпека об'єктів будівництва. - Діє з 01.01.03.

22. ГОСТ 12.1.004-91. ССБТ. Пожарная безопасность. Общие требования. Введен 01.01.92.

23. ГОСТ 12.1.006-84. ССБТ. Электростатические поля. Допустимые уровни на рабочих местах и требования к проведению контроля. - Введен 01.01.86.

24. РД 34.21.122-87. Инструкция по устройству молниезащиты зданий и сооружений.

ПРИЛОЖЕНИЕ А

Текст программы устройства управления светодинамической

индикацией на языке VHDL

library IEEE;

use IEEE.std_logic_1164.all; -- ссылка на используемые библиотеки

use kat.all;

----------------------- Текст основной программы ---------------------------

entity katy is -- описание входных и выходных портов устройства

port(

Reset_sx: in bit;

Q_sx: out bit_vector (4 downto 1));

end katy;

architecture BEHAVIOR of katy is -- тело архитектуры основной программы

-- описание портов компонентов устройства

component generator is -- описание портов генератора импульсов

port (apr: inout bit:='0');

end component;

component TSH is -- описание портов элемента задержки с инверсией

port(IN1: in bit;

Y: out bit);

end component;

component counter_IE8 is -- описание портов счетчика ИЕ8

port(Reset_IE8,Clock_IE8: in bit;

P: out bit);

end component;

component counter_IE7 is -- описание портов счетчика ИЕ7

port(Reset_IE7,CV: in bit;

reverse: inout bit;

Q: out bit_vector (4 downto 1));

end component;

component DFF is -- описание портов D-триггера

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:='1'; C,D,R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end component;

component Formirovatel is -- описание портов формирователя

port (Input: in bit;

Output: out bit);

end component;

component sdvig_reg is -- описание портов сдвигового регистра

port(R,C,A,nA,P: in bit;

D: in bit_vector (4 downto 1);

Q: out bit_vector (4 downto 1));

end component;

signal t1,t2,R,C,nA,A,Del,Clock_IE8,P,CV,Clock_IE7: bit; -- описание сигналов

signal D_sx: bit_vector (4 downto 1);

begin -- описание связей компонентов, входящих в основную программу

p1: TSH port map (Reset_sx,t1);

p2: TSH port map (t1,R);

p3: TSH port map (C,t2);

p4: generator port map (C);

p5: DFF port map (Reset_sx,Clock_IE7,nA,R,A,nA);

p6: DFF port map (Reset_sx,C,Del,Reset_sx,Clock_IE8,Del);

p7: counter_IE8 port map (t1,Clock_IE8,P);

p8: Formirovatel port map (P,CV);

p9: counter_IE7 port map (t1,CV,Clock_IE7,D_sx);

p10: sdvig_reg port map (R,C,A,nA,P,D_sx,Q_sx);

end BEHAVIOR;

------------ Текст программы счетчика, аналога микросхемы К155ИЕ8----

entity counter_IE8 is -- описание входных и выходных портов устройства

port(Reset_IE8,Clock_IE8: in bit;

P: out bit);

end counter_IE8;

architecture BEHAV of counter_IE8 is -- тело архитектуры

-- описание портов компонентов счетчика

component TFF is

generic (T1S, T0R, T1C, T0C: time:=1 ns);

port (S: in bit:='1'; C, R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end component;

component NAND8 is

port(IN1,IN2,IN3,IN4,IN5,IN6,IN7,IN8: in bit;

Y: out bit);

end component;

component NAND6 is

port(IN1,IN2,IN3,IN4,IN5,IN6: in bit;

Y: out bit);

end component;

component NAND4 is

port(IN1,IN2,IN3,IN4: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1,IN2: in bit;

Y: out bit);

end component;

component \NOT\ is

port(IN1: in bit;

Y: out bit);

end component;

signal n1,n2,n3,Q1,Q2,Q3,Q4,Q5,Q6,c1,c2,c3,c4,c5,c6: bit; -- описание сигналов

begin -- описание связей компонентов, входящих в counter_IE8

DD1: \NOT\ port map(Clock_IE8,n1);

DD2: \NOT\ port map(n1,c1);

DD3: \NOT\ port map(Reset_IE8,n2);

DD4: TFF port map ('1',c1,n2,Q1);

DD5: NAND2 port map(n1,Q1,c2);

DD6: TFF port map('1',c2,n2,Q2);

DD7: NAND4 port map (n1,n1,Q2,Q1,c3);

DD8: TFF port map('1',c3,n2,Q3);

DD9: NAND4 port map(n1,Q3,Q2,Q1,c4);

DD10: TFF port map('1',c4,n2,Q4);

DD11: NAND6 port map(n1,n1,Q4,Q3,Q2,Q1,c5);

DD12: TFF port map('1',c5,n2,Q5);

DD13: NAND6 port map(n1,Q5,Q4,Q3,Q2,Q1,c6);

DD14: TFF port map('1',c6,n2,Q6);

DD15: NAND8 port map(Q1,Q2,Q3,Q4,Q5,Q6,Q6,n1,n3);

P<=n3;

end BEHAV;

------------ Текст программы четырехразрядного реверсивного счетчика, аналога микросхемы К155ИЕ7

entity TSH is -- элемент задержки с инверсией входного сигнала

port(IN1: in bit;

Y: out bit);

end TSH;

architecture beh of TSH is

begin

Y <= not (IN1) after 2 ns;

end beh;

entity counter_IE7 is -- описание портов счетчика

port(Reset_IE7,CV: in bit;

reverse: inout bit;

Q: out bit_vector (4 downto 1));

end counter_IE7;

architecture BEHAV of counter_IE7 is -- тело архитектуры счетчика

-- описание входящих в счетчик компонентов

component TFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:='1'; C, R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end component;

component NAND6 is

port(IN1, IN2, IN3, IN4, IN5, IN6: in bit;

Y : out bit);

end component;

component \NOT\ is

port(IN1: in bit;

Y: out bit);

end component;

component AND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component NAND3 is

port(IN1, IN2, IN3: in bit;

Y: out bit);

end component;

component NAND4 is

port(IN1, IN2, IN3, IN4: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component TSH is

port(IN1: in bit;

Y: out bit);

end component;

-- описание внутренних сигналов счетчика

signal n1,n2,n3,n4,n5,n6,n7,n8,s1,s2,s3,s4,r1,r2,r3,r4,c1,c2,c3,c4,Q1,NQ1,Q2, NQ2,Q3, NQ3,Q4,NQ4,PE,P: bit;

begin -- описание связей компонентов, входящих в counter_IE7

DD1: \NOT\ port map(CV,n1);

DD2: \NOT\ port map(Reset_IE7,n2);

DD3: NAND2 port map(n2,n8,s1);

DD4: \NOT\ port map(n1,c1);

DD5: AND2 port map(n2,n3,r1);

DD6: NAND2 port map(n8,s1,n3);

DD7: TFF port map(s1,c1,r1,Q1,NQ1);

DD8: \NOT\ port map(n8,n4);

DD9: NAND2 port map(n1,Q1,c2);

DD10: AND2 port map(n2,n4,r2);

DD11: TFF port map('1',c2,r2,Q2,NQ2);

DD12: \NOT\ port map(n8,n5);

DD13: NAND3 port map(n1,Q1,Q2,c3);

DD14: AND2 port map(n2,n5,r3);

DD15: TFF port map('1',c3,r3,Q3,NQ3);

DD16: \NOT\ port map(n8,n6);

DD17: NAND4 port map(n1,Q1,Q2,Q3,c4);

DD18: AND2 port map(n2,n6,r4);

DD19: TFF port map('1',c4,r4,Q4,NQ4);

DD20: NAND6 port map(Q1,n1,Q2,Q3,Q4,n1,n7);

DD21: \NOT\ port map(PE,n8);

DD22: TSH port map(P,reverse);

DD23: TSH port map(reverse,PE);

Q(1)<=Q1;

Q(2)<=Q2;

Q(3)<=Q3;

Q(4)<=Q4;

P<=n7;

end BEHAV;

----- Текст программы формирователя кода -----

entity NAND2 is -- текст программы элемента И-НЕ на два входа

port(IN1, IN2: in bit;

Y: out bit);

end NAND2;

architecture beh of NAND2 is

begin

Y <= IN1 nand IN2;

end beh;

entity TSH is -- текст программы элемента задержки с инверсией

port(IN1: in bit;

Y: out bit);

end TSH;

architecture beh of TSH is

begin

Y <= not (IN1) after 2 ns;

end beh;

entity Formirovatel is -- описание портов формирователя

port (Input: in bit;

Output: out bit);

end Formirovatel;

architecture BEHAV of Formirovatel is -- тело архитектуры

-- описание компонентов формирователя

component NAND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component TSH is

port(IN1: in bit;

Y: out bit);

end component;

signal o1,o2,o3,o4: bit; -- описание внутренних сигналов

begin -- описание связей компонентов, входящих в формирователь кода

DD1: TSH port map(Input,o1);

DD2: TSH port map(o1,o2);

DD3: TSH port map(o2,o3);

DD4: NAND2 port map(Input,o3,o4);

DD5: NAND2 port map(o4,o4,Output);

end BEHAV;

----- Текст программы сдвигового регистра,

аналога микросхемы К155ИР11

entity sdvig_reg is -- описание портов сдвигового регистра

port(R,C,A,nA,P: in bit;

D: in bit_vector (4 downto 1);

Q: out bit_vector (4 downto 1));

end sdvig_reg;

architecture BEHAV of sdvig_reg is -- тело архитектуры регистра

-- описание компонентов, входящих в сдвиговый регистр

component \NOT\ is

port(IN1: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1,IN2: in bit;

Y: out bit);

end component;

component Mux_4 is

port(i: in bit_vector (4 downto 1);

sel: in bit_vector (2 downto 1);

s: out bit);

end component;

component DFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:='1'; C,D,R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end component;

-- описание внутренних сигналов регистра

signal i1,i2,i3,i4: bit_vector (4 downto 1);

signal sel: bit_vector (2 downto 1);

signal s1,s2,v,do1,do2,do3,do4,Qo1,Qo2,Qo3,Qo4,nQo1,nQo2,nQo3,nQo4: bit;

begin -- описание связей компонентов, входящих в сдвиговый регистр

DD1: NAND2 port map (A,P,s1);

DD2: NAND2 port map (nA,P,s2);

DD3: \NOT\ port map (C,v);

DD4: Mux_4 port map (i4,sel,do4);

DD5: Mux_4 port map (i3,sel,do3);

DD6: Mux_4 port map (i2,sel,do2);

DD7: Mux_4 port map (i1,sel,do1);

DD8: DFF port map ('1',v,do1,R,Qo4,nQo4);

DD9: DFF port map ('1',v,do2,R,Qo3,nQo3);

DD10: DFF port map ('1',v,do3,R,Qo2,nQo2);

DD11: DFF port map ('1',v,do4,R,Qo1,nQo1);

sel(1) <= s1;

sel(2) <= s2;

i1(1) <= Qo4;

i1(2) <= Qo3;

i1(3) <= Qo1;

i1(4) <= D(4);

i2(1) <= Qo3;

i2(2) <= Qo2;

i2(3) <= Qo4;

i2(4) <= D(3);

i3(1) <= Qo2;

i3(2) <= Qo1;

i3(3) <= Qo3;

i3(4) <= D(2);

i4(1) <= Qo1;

i4(2) <= Qo4;

i4(3) <= Qo2;

i4(4) <= D(1);

Q(1)<=Qo1;

Q(2)<=Qo2;

Q(3)<=Qo3;

Q(4)<=Qo4;

end BEHAV;

----- Текст программы генератора импульсов -----

entity generator is

port (apr: inout bit:='0');

end generator;

architecture BEHAV of generator is

begin

apr <= not apr after 5 ns;

end architecture;

-- Текст программы двухразрядного мультиплексора на 4 входа --

entity Mux_4 is

port(i: in bit_vector (4 downto 1);

sel: in bit_vector (2 downto 1);

s: out bit);

end Mux_4;

architecture BEHAV of Mux_4 is

begin

with sel select

s <= i(1) when “00”,

i(2) when “01”,

i(3) when “10”,

i(4) when “11”;

end BEHAV;

----- Текст программы Т-триггера с асинхронными инверсными S и R входами -----

entity TFF is

generic (T1S, T0R, T1C, T0C: time:=1 ns);

port (S: in bit:='1'; C, R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end TFF;

architecture BEHAVIOR of TFF is

begin

process (S,C,R)

variable T: bit:='0';

variable DELAY: time:= 1 ns;

begin

assert not (S='0' and R='0')

report "одновременный 0 на S и R входе Т-триггера"

severity warning;

if S='0' and R='1' then T:='1';

elsif S='1' and R='0' then T:='0';

elsif R='1' and S='1' and C='1' and not C'stable then

T:=NQ;

else T:=Q;

end if;

if T='0' and Q='1' then

if R='0' then DELAY:=T0R;

else DELAY:=T1C;

end if;

elsif T='1' and Q='0' then

if S='0' then DELAY:=T1S;

else DELAY:=T1C;

end if;

end if;

Q<=T after DELAY;

NQ<=not T after DELAY;

end process;

end BEHAVIOR;

----- Текст программы асинхронного D-триггера -----

entity DFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:='1'; C,D,R: in bit:='0';

Q: inout bit:='0';

NQ: inout bit:='1');

end DFF;

architecture BEHAVIOR of DFF is

begin

process (S,C,D,R)

variable T: bit:='0';

variable DELAY: time:=0 ns;

begin

assert not (S='0' and R='0')

report "одновременный 0 на S и R входе D-триггера"

severity warning;

if S='0' and R='1' then T:='1';

elsif S='1' and R='0' then T:='0';

elsif R='1' and S='1' and D='1' and C='1' and not C'stable then

T:='1';

elsif R='1' and S='1' and D='0' and C='1' and not C'stable then

T:='0';

else T:=Q;

end if;

if T='0' and Q='1' then

if R='0' then DELAY:=T0R;

else DELAY:=T1C;

end if;

elsif T='1' and Q='0' then

if S='0' then DELAY:=T1S;

else DELAY:=T1C;

end if;

end if;

Q<=T after DELAY;

NQ<=not T after DELAY;

end process;

end BEHAVIOR;


Подобные документы

  • Классификация моделей по типу отражаемых свойств средств управления. Этапы математического моделирования. Уровни и формы математического описания для системы управления летательного аппарата. Линейная модель многомерных систем в пространстве состояний.

    презентация [600,0 K], добавлен 27.10.2013

  • Способы изготовления заготовки (виды литья), которая может использоваться как опора или корпус, выбор наиболее эффективного из них (литье в песчано-глинистые формы). Разработка технологического процесса изготовления заготовки и детали, полученной из нее.

    контрольная работа [2,4 M], добавлен 24.12.2011

  • Разработка принципиальной и силовой схем, логической программы управления электроприводом производственной установки. Расчёт его мощности и режима работы. Выбор аппаратуры защиты, контроля параметров, распределения электрического тока, сигнализации.

    курсовая работа [337,1 K], добавлен 07.09.2015

  • Технологическая характеристика широкополосного стана НШС-2000, назначение и устройство вальцетокарного калибровочного станка специальный модели IК825Ф2 с цифровой индикацией и управлением. Составление и описание работы схемы управления во всех режимах.

    дипломная работа [362,8 K], добавлен 19.03.2012

  • Расчет мощности, выбор электродвигателя привода установки-металлоуловителя, ленточного конвейера. Разработка принципиальной схемы управления электроприводами, логическая схема управления. Расчет и обоснование выбора аппаратуры. Определение объема памяти.

    курсовая работа [326,5 K], добавлен 24.02.2012

  • Характеристика автономных и сетевых систем контроля и управления доступом, рассмотрение их структурных схем и технических особенностей. Рекомендации по выбору оптимальных средств и систем контроля доступа по техническим и экономическим показателям.

    курсовая работа [5,0 M], добавлен 30.01.2011

  • Построение логической схемы комбинационного узла и принципиальной электрической схемы дискретного управляющего устройства. Исследование принципа работы устройства, его предназначения и строения. Анализ принципа жесткой логики на интегральных микросхемах.

    практическая работа [735,5 K], добавлен 27.12.2012

  • Анализ работы звукорежиссера в условиях съёмки кинофильма с записью звука на многоканальный цифровой аппарат записи звука. Особенности выбранной аппаратуры и синхронизации изображения и аудиосигнала. Схемы расположения съемочного и звукового оборудования.

    курсовая работа [3,6 M], добавлен 04.05.2014

  • Моделирование автоматизированной системы регулирования. Методики разработки моделей систем управления и их исследования средствами пакета Simulink. Реализация численного анализа математических моделей объектов управления. Вычислительные эксперименты.

    курсовая работа [1,6 M], добавлен 30.12.2016

  • Принцип работы устройства для измерения давления фундамента на грунт. Анализ устройства по законам развития технических систем. Энергетическая и информационная проводимость. Статическая модель технического противоречия на основе катастрофы типа сборка.

    курсовая работа [1,1 M], добавлен 04.11.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.