Светодинамические установки

VHDL-модель устройства управления светодинамической индикацией. Язык описания аппаратуры для высокоскоростных интегральных схем VHSIC, называемый VHDL, является формальной записью, которая может использоваться на всех этапах разработки электронных систем.

Рубрика Производство и технологии
Вид дипломная работа
Язык русский
Дата добавления 09.03.2009
Размер файла 1,7 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

ВВЕДЕНИЕ

Светодинамические установки (СДУ) находят широкое применение в автомобильной электронике (для управления стоп-сигнальными "огнями"), для эстетического оформления баров, дискотек, казино, праздничной иллюминации, при подготовке выставок, презентаций, а также для организации световой рекламы.

СДУ с программируемыми алгоритмами позволяют реализовывать большое многообразие светодинамических эффектов и управлять по программе большим числом световых элементов. Такое устройство можно выполнить, к примеру, на одном микроконтроллере и нескольких регистрах, в качестве интерфейсных схем, для управления набором световых элементов. Применение распространенных микросхем стандартной логики позволяет построить полностью автономное многоканальное светодинамическое устройство с интегрированным программатором, не требующее использования никаких дополнительных программаторов, вообще, или компьютера, в частности.

СДУ можно разделить на три типа: к первой группе относятся простые в смысле аппаратной реализации устройства, реализующие ограниченный набор эффектов; ко второй группе относятся устройства с использованием ИМС памяти типа РПЗУ; третья группа - СДУ на микроконтроллерах. Представленное в данном проекте устройство условно можно отнести к промежуточной между второй и третьей группой категории.

В данном проекте реализована VHDL-модель устройства управления светодинамической индикацией. Язык описания аппаратуры для высокоскоростных интегральных схем (VHSIC), называемый VHDL, является формальной записью, которая может быть использована на всех этапах разработки электронных систем. Вследствие того, что язык легко воспринимается как машиной, так и человеком он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры также как и для передачи данных о проекте, модификации и сопровождения.

1. ОБЗОР ТЕХНИЧЕСКИХ РЕШЕНИЙ

1.1. Классификация устройств светодинамической индикации

Светодинамические установки (СДУ), описания которых часто встречаются на страницах радиотехнической литературы, по архитектуре построения можно условно разделить на три группы. Первая группа - это устройства, в которых реализован ограниченный набор эффектов при относительно небольших аппаратных затратах, вторая группа - более сложные по архитектуре устройства с использованием ИМС памяти типа РПЗУ, третья группа - СДУ на микроконтроллерах. Применение устройств второй и третьей групп позволяет получить большое многообразие светодинамических эффектов. Реализация устройств первого типа не вызывает затруднений, в то время как изготовление СДУ второй группы требует применения специальных программаторов.

Как показывает практика эксплуатации светодинамических устройств, эстетический визуальный эффект создают именно устройства с "запрограммированным" алгоритмом, а не сформированным случайным образом с использованием генератора случайных чисел (ГСЧ). Поэтому устройства на основе ГСЧ можно не рассматривать в данной классификации.

Второй недостаток заключается в том, что в любом из указанных случаев набор светодинамических эффектов жестко фиксирован. Поэтому, если в устройствах на основе РПЗУ можно изменить набор эффектов путем перепрограммирования микросхемы памяти с использованием программатора, то в устройствах на основе жесткой логики, в которых заложен фиксированный алгоритм, это вообще невозможно. Неограниченное число световых эффектов доступно лишь автоматам на базе ПЗУ и МК, но для запуска таких приборов в действие пользователь должен располагать компьютером и программатором.

Третьим недостатком можно назвать возможность управления только ограниченным набором светоизлучающих элементов (светодиодов, ламп накаливания), поскольку на каждый элемент приходится один сигнальный провод.

1.2 Схемы-аналоги

1) Переключатель гирлянд.

Рисунок 1.1 - Переключатель гирлянд

Схема первого переключателя представлена на рис. 1. Это устройство управляет двумя гирляндами, состоящими из малогабаритных светодиодов красного и зеленого цветов, и предназначено для украшения небольшой новогодней елки.

На транзисторах VT1, VT2 собран симметричный мультивибратор, частота переключения которого определяется номиналами резисторов R1 -- R4 и конденсаторов Cl, C2. Для указанных на схеме номиналов этих элементов частота составляет около 1 Гц. В коллекторные цепи транзисторов включены две гирлянды из светодиодов HL1 -- HL32. Диоды VD1, VD2 и резисторы Rl, R4 необходимы для обеспечения перезарядки конденсаторов С1 и С2. Источник питания переключателя гирлянд выполнен по схеме однополупериодного выпрямителя на диоде VD3 с использованием балластного конденсатора С4 для гашения напряжения. Диод VD4 необходим для перезарядки конденсатора при положительной волне (относительно нижнего по схеме провода сети) напряжения, резистор R6 ограничивает импульс тока при включении устройства в сеть, когда конденсатор разряжен. Через резистор R5 конденсатор С4 разряжается после выключения устройства из сети. Пульсации выпрямленного напряжения сглаживаются конденсатором СЗ. Стабилитрон в блоке питания отсутствует, а напряжение на элементах мультивибратора ограничивается напряжением на включенной гирлянде светодиодов, т.е. светодиоды выполняют функцию стабилитронов. Поскольку в любой момент времени обязательно включена одна из двух гирлянд, напряжение на конденсаторе СЗ не может превысить напряжение на светящейся гирлянде.

Достоинство схемы: простота реализации.

Недостатки схемы: маленькая выходная мощность, наличие всего одного режима переключения гирлянды.

2) Автоматический переключатель гирлянд.

Данная схема довольно проста, но и она реализует достаточно большое количество световых эффектов, таких как «бегущая тень», «бегущий огонь», «попарное включение», «поочерёдное включение и гашение» и т.д.

Рисунок 1.2 - Автоматический переключатель гирлянд

Основа устройства - четырехразрядный регистр сдвига с параллельной загрузкой К555ИР16. Узел управления регистром состоит издвоичного счетчика К555ИЕ7 и логических элементов DD1.3 и DD3.1. Эффект "бегущих огней" достигается в одну сторону за счет обычного сдвига кода в регистре, в обратную - параллельной записью в регистр его на один разряд.

Задающий генератор автомата собран на элементах DD1.1 и DD1.2. Частота импульсов 3-4Гц. Её можно изменить подбором R1 И С1. Автомат может управлять не только светодиодами, но и лампами питающимися от сети. Для этого их надо подключить по следующей схеме.

Рисунок 1.3 - Схема включения ламп, питающихся от сети

Рассмотрим особенности работы этого устройства. На инвертирующий вход компаратора DA2 поступают пилообразные импульсы с частотой, равной двойной частоте сети. На неинвертирующий вход компаратора поступают треугольные импульсы инфранизкой частоты, которые формирует генератор, собранный на логических элементах микросхемы DD1. Элементы D1.1, DD1.2 и резисторы R10, R11 образуют триггер Шмитта, входящий в состав генератора. Допустим, на выходе логического элемента DD1.3 действует напряжение высокого уровня, а конденсатор С4 разряжен. В этом случае через диод VD5 и резистор R11 конденсатор С4 будет заряжаться, а напряжение на нем будет увеличиваться. Когда оно достигнет верхнего порога переключения триггера Шмитта, последний переключится в противоположное состояние, и на выходе элемента DD1.3 установится напряжение низкого уровня. Теперь конденсатор С4 будет разряжаться через открывшийся диод VD4 и резистор R10. При уменьшении напряжения до нижнего порога переключения триггер Шмитта вновь переключится в противоположное состояние, и процесс формирования импульса повторится. В результате на конденсаторе С4 форма напряжения будет близка к треугольной. Воздействие этого напряжения на неинвертирующий вход компаратора приводит к формированию на выходе компаратора импульсов тока изменяющейся скважности; эти импульсы тока, протекая через цепь управляющего электрода симистора VS 1, изменяют яркость ламп гирлянды (они подключены к гнездам "Нагрузка") от минимальной до максимальной и наоборот.

Стабилитрон VD3 необходим для того, чтобы "приподнять" пилообразное напряжение до уровня, соответствующего нижнему порогу переключения триггера Шмитта. В качестве микросхемы DA2 можно использовать, помимо указанной на схеме, компараторы типа К521САЗ. При использовании компараторов других типов придется применить усилитель тока выходного каскада. Транзисторы VT1, VT2 могут быть любыми структуры n-р-n. Замена остальных радиодеталей, думается, не вызовет затруднений.

Настройка устройства состоит в регулировании подстроечными резисторами R10 и R 11 скоростей зажигания и гашения ламп гирлянды.

Достоинства схемы: Больше режимов, чем у первой схемы, но меньше чем у нашей схемы, есть режимы, когда все огни горят либо все погашены, т.е. в этом случае нет никакого бегущего огня.

Недостатки схемы: Схема управления выходными тиристорами не предусматривает стробирования импульсов управления сигналом нулевого напряжения сети, т.о. переключатель создает помехи для электрооборудования, которые тем больше, чем мощнее нагрузка.

3) Автомат переключения гирлянды.

Предлагаемое устройство предназначено для плавного переключения обычной сетевой елочной гирлянды с часто той 0,2…2Гц. Яркость свечения ламп можно регулировать. Предполагается использование гирлянды напряжением питания 220В, мощностью не более 100 Вт. Принципиальная схема автомата переключения изображена на рис.1. Частотой переключения управляет мультивибратор, собранный на элементах DD1.3, DD1.4. Сдвиг момента открывания тиристора VD6 о т начала полупериода сетевого напряжения происходит из-за задержки переключения инверторов на логических элементах DD1.1 и DD1.2, формируемой цепью R6, R7, R9, С3. В каждом полупериоде напряжения сети конденсатор С3 медленно заряжается через резисторы R5, R6, R7 и быстро разряжается после переключения элементов DD1.1, DD1.2 через диод VD10 и открывшийся тиристор VD6.

Рисунок 1.4 - Автомат переключения гирлянды

Начальный сдвиг фазы напряжения, определяющий яркость свечения ламп гирлянды, устанавливают подстроечным резистором R6. Желаемую часто ту переключения мультивибратора устанавливают подстроечным резистором R8. Конденсатор С4 лучше всего выбрать неполярным. Допускается применение оксидного конденсатора с малым током утечки. При налаживании устройства следует помнить, что его элементы находятся под напряжением сети. Сначала отключают один из выводов диода VD11 и налаживают регулятор мощности так, что бы при перемещении движка резистора R6 освещенность ламп гирлянды изменялась от нуля до номинальной. После подключения диода VD11 подстроечным резистором R8 устанавливают желаемую частоту переключения гирлянды. Подстроечным резистором R9 добиваются, что бы конденсатор С2 успевал полностью заряжаться за полупериод переключения мультивибратора; это обеспечивает плавность переключения гирлянды. При необходимости мощность гирлянды может быть увеличена. Для этого тиристор КУ202К должен быть установлен на тепло отводящий радиатор, а диоды моста заменены на поддерживающие соответствующий ток нагрузки (их так же придется у становить на радиаторы). Если же вместо тиристора использовать симистор КУ208, то диодный мост вообще не потребуется, а мощность гирлянды может быть доведена до 2 к Вт. Приведенная схема реализует простейший световой эффект - плавное мигание ламп. Она является одной из самых примитивных конструкций автоматов световых эффектов.

Достоинство схемы: простота реализации.

Недостатки схемы: Управление всего одной гирляндой, отсутствие световых эффектов, а лишь мигание, схема создает помехи для других электроустройств.

4) «Дирижер иллюминации».

Многообразные световые эффекты для праздничной иллюминации позволяет создавать программируемая светодинамическая установка (ПСДУ). В ней вместо микросхем с жесткой логикой использовано постоянное запоминающее устройство (ПЗУ). Прибор может коммутировать 8 различных устройств по 32 программам, состоящим из 16 тактов. Причем возможны следующие варианты управления: фиксированный выбор (нажаты кнопки «Р» - «ручной режим» и одна из программ с обозначениями «1», «2», «4», «8», «16»); автоматическая смена программ, начиная с любой фиксированной (кнопка «Р» отжата - автоматический режим); дискретная смена времени повторения каждой программы ( кнопка «n»); ручная смена направления движения программ (тумблер «реверс»); автоматический реверс (тумблер «реверс» в среднем положении); изменение скорости движения программ (ручка «частота»); остановка программы (кнопка «S»); регулировка яркости свечения ламп экрана (ручка «яркость»).

Рассмотрим блок-схему ПСДУ (рис. 1.5).

I - генератор импульсов;

II - счетчик тактов;

III - счетчик программ;

IV - счетчик количества повторений;

V - устройство реверса;

VI - ПЗУ;

VII - узел коммутации и индикации;

VIII - экран;

IX - регулятор яркости.

Рисунок 1.5 - Блок-схема программируемой светодинамической установки

Принципиальная схема устройства представлена на рисунке 1.6.

Рисунок 1.6 - Принципиальная схема ПСДУ (резисторы R7, R15 опущены)

В ПЗУ хранятся 32 программы по 16 тактов. С каждым импульсом, приходящим с генератора, меняется выходной код счетчика тактов. Соответственно меняется выходной код ПЗУ. Через каждые 16 тактов на счетчик количества повторений и устройство реверса приходит импульс, увеличивающий состояние первого и изменяющий действие второго. В результате направление счета счетчика тактов изменяется на противоположное, и ячейки ПЗУ опрашиваются в обратном порядке, приводя к смене изображений на экране. Когда состояние счетчика количества повторений достигает значения, заданного переключателем программ, счетчик программ увеличивает свое состояние на 1, вызывая тем самым новую программу, записанную в ПЗУ.

Действует ПСДУ следующим образом. На логических элементах DD2.1 и DD2.2 (рис. 1.6) выполнен генератор прямоугольных импульсов. Частоту их следования можно плавно менять переменным резистором R4. С генератора импульсы поступают на двоичный реверсивный счетчик DD4, и далее с его выхода информация приходит на ПЗУ. Когда счетчик тактов досчитывает до 15, на выходе переноса Р появляется импульс, который через счетчик количества повторений DD1 поступает непосредственно на тактовый вход микросхемы DD5 счетчика программ. Счетчик программ выполнен на МС DD5 и триггере DD3.2. Входным кодом ПЗУ, определяемым счетчиками тактов (DD4) и программ (DD5, DD3.2), задается состояние его выходов. Рассмотрим возможности управления направлением «бега» огней. Если переключатель SA1 находится в нижнем по схеме положении, на выходе триггера DD3.1, соединенного со входом +1 DD4, присутствует логическая I, что соответствует прямому направлению счета. В верхнем положении SA1 реализуется обратный счет. В среднем положении того же переключателя импульсы поступают со счетчика количества повторений (DD1), и на триггере DD3.1 попеременно будут появляться логические 0 и 1 - направление «бега» периодически меняется. Возможны два режима выбора программ. В ручном фиксируется одна из 32 программ, номер которой в двоичном коде задается положением кнопок SB1 - SB4, SB6. При отпускании кнопки SB5 осуществляется автоматический перебор программ, начиная с номера, установленного SB1 - SB4, SB6.

Достоинства схемы: схема реализует широкий спектр световых эффектов, возможность ручного управления и автоматической смены программ, невысокая стоимость элементной базы.

Недостатки схемы: сложность управления (панель управления непонятна обычному пользователю), отсутствует защита от наведения помех в электрической сети.

2 СИНТЕЗ ЭЛЕКТРИЧЕСКОЙ СТРУКТУРНОЙ СХЕМЫ И ЭЛЕКТРИЧЕСКОЙ ПРИНЦИПИАЛЬНОЙ СХЕМЫ

2.1 Состав структурной схемы

Основываясь на выбранном направлении проектирования разработана схема структурная Э1 (чертеж АП-13А.7.091401.18 Э1), которая содержит следующие элементы:

- генератор импульсов;

- делитель частоты;

- формирователь кода;

- формирователь сброса;

- переключатель направления;

- сдвиговый регистр;

- индикатор режимов;

- схему управления нагрузкой;

- блок питания (на структурной схеме не показан).

2.2 Описание работы устройства по его структурной схеме

После включения блока питания генератор опорной частоты подает импульсы на делитель частоты и на сдвиговый регистр. При включении устройства формирователь сброса вырабатывает импульс для установки сдвигового регистра в ноль. Также импульс сброса поступает на формирователь кода. В формирователе кода формируется такая комбинация: 0000. С формирователя кода эта комбинация подается на сдвиговый регистр, откуда она поступает на схему управления нагрузкой и на индикатор режимов. Таким образом, после включения питания в устройстве вся нагрузка остается выключенной на время 256 тактовых импульсов. Полный цикл формирования светодинамического эффекта, например, “бегущего огня” состоит из 16 комбинаций. В представленном устройстве исключаются состояния, когда все нагрузки включены или не включена ни одна.

При подаче 128-го импульса в формирователе кода формируется комбинация 0001, что означает: загорится первая нагрузка (красный светодиод). Формирователь сброса подает импульс на переключатель направления счета, который определяет в какую сторону будет сдвигаться комбинация на сдвиговом регистре: вперед (вправо) или назад (влево). При 256-м импульсе комбинация 0001 будет переписана в сдвиговый регистр, после чего формирователь кода инкременируется, в нем устанавливается комбинация 0010. В сдвиговом регистре первоначальная комбинация сдвигается вперед. Таким образом при следующем импульсе с генератора включается вторая нагрузка (желтый светодиод), далее - третья нагрузка (зеленый светодиод), при последующем - четвертая (синий светодиод), а затем возврат на первую нагрузку (красный). Так происходит бег огней в одну сторону 32 раза. Далее в сдвиговый регистр заносится код 0010, переключение нагрузок повторяется, а в формирователе кода подготавливается комбинация 0011. Таким образом, следом загораются две рядом расположенные нагрузки одновременно (красная + желтая) и происходит их сдвиг (желтая + зеленая, зеленая + синяя, синяя + красная и т.д.). Дальнейший инкремент формирователя кода вызывает другие цветовые комбинации. Так происходит 14 раз со сдвигом сформированных кодов (от 0001 до 1110) одну сторону и затем формирователь кода переходит к комбинации 0001, но сдвиг будет выполняться 14 раз в другую сторону, т.к. переключатель направления переключается после получения числа 14 на формирователе кода. На индикаторе режимов загораются светодиоды красного, желтого, зеленого и синего цветов в соответствии с состоянием нагрузки.

2.3 Синтез электрической принципиальной схемы

Электрическая принципиальная схема (Э3) представлена на чертеже АП-13А. 7.091401.18 Э3.

Генератор импульсов включает в себя резисторы R9, R10, R11, конденсатор С13 и микросхему серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) - DD1.4, DD1.5, DD1.6. Генератор опорной частоты собран на логических элементах DD1.4, DD1.5, DD1.6. DD1.6 генерирует с цепями обратной связи, DD1.5 - улучшает форму сигнала, DD1.4 - делает инверсию сигнала. Частоту следования импульсов можно плавно менять подстроечным резистором R11.

Делитель частоты содержит: микросхему серии К555ТМ2 (D-триггер) -DD2.2 и микросхему серии К555ИЕ8 (программируемый счетчик с входом для переключения коэффициента, на который можно разделить входную тактовую частоту) - DD4. Делитель частоты представляет собой счетчик до 128 (DD2.2 делит частоту на 2, DD4 делит частоту на 64, в итоге делитель делит частоту на 128).

Формирователь кода состоит из: микросхемы серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) - DD3.4, DD3.5, DD3.6; микросхемы серии К555ЛА3 (логическая функция И с инверсией) - DD6.1, DD6.2; микросхемы серии К555ИЕ7 (четырехразрядный реверсивный счетчик) - DD7.

Формирователь сброса включает в себя такие элементы: интегрирующая RC-цепочка, реализованная на резисторе R8 и конденсаторе C12, а также микросхема серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) -DD1.1, DD1.2.

Переключатель направления реализован единственным элементом - микросхемой серии К555ТМ2 (D-триггер) - элемент DD2.2 на схеме Э3.

Сдвиговый регистр представлен микросхемой К555ЛА3 (логическая функция И с инверсией) - DD6.3, DD6.4 и микросхемой К555ИР11 (универсальный четырехразрядный сдвиговый регистр, с помощью которого можно строго синхронно сдвигать цифровое слово вправо и влево) - DD9. Когда на входах S0 и S1 находится комбинация 11, происходит занесение комбинации свечения с микросхемы DD7.

Индикатор режимов реализуется светодиодами VD17-VD20 красного, желтого, зеленого и синего цветов и резисторами R12-R15.

Схема управления нагрузкой содержит такие элементы: контакты для соединения с нагрузкой, тиристоры VD5-VD8, микросхему К155ЛН5 (шесть буферных инверторов с открытыми коллекторами) - DD5.1-DD5.4, микросхему К555ЛА3 (логическая функция И с инверсией) - DD8.1-DD8.4, резисторы R4-R7, а также диоды VD1-VD4.

Блок питания состоит из: трансформатора Т1, двух диодных мостов VD9-VD12 и VD13-VD16, делителя напряжения R1, R2, транзистора VT1, фильтров по питанию С2-С11, микросхемы 142ЕН5А (стабилизатор напряжения), конденсатора С1 и резистора R1. Блок питания вырабатывает два типа напряжения: +12 В - нестабилизированное, +5 В - стабилизированное. Нестабилизированное напряжение идет через диодный мост VD9-VD12, сглаживается конденсатором С1. Стабилизатор DA1 преобразует +12 В нестабилизированного напряжения в +5 В стабилизированного. VD13-VD16 - детектор прохождения Uпит через ноль.

3. ВЫБОР НАПРАВЛЕНИЯ ПРОЕКТИРОВАНИЯ

В данном бакалаврском проекте разрабатывается устройство на логических элементах (микросхемах ТТЛ-технологии). Подобное устройство может обеспечить достаточное количество световых эффектов, необходимых для работы светодинамической установки. Приоритетным направлением проектирования в данном проекте является максимизация количества и разнообразия световых эффектов, создаваемых установкой.

Для проектирования СДУ выбираются радиотехнические элементы, отвечающие следующим критериям:

- элемент должен быть широко распространенным для обеспечения взаимозаменяемости в случае поломок;

- элемент должен иметь низкую стоимость;

- должно обеспечиваться низкое энергопотребление, т.к. предполагается использовать данное устройство в бытовых условиях;

- номинальные значения элемента должны точно соответствовать рассчитанным значениям.

3.1 Выбор элементной базы

1. Для проектирования СДУ нам понадобятся два типа конденсаторов: электролитические и керамические.

Конденсаторы К50-16 - конденсатор оксидно-электролитический, алюминиевый. Конденсатор постоянной емкости, общего назначения. Отклонение емкости от номинальной составляет 0,1%. Достоинство - широкое распространение.

Конденсаторы КМ6 - керамический монолитный. Достоинства - простота и дешевизна.

2. Выбираются резисторы С2-29 - непроволочные, тонкослойные, металлодиэлектрические, металлоокислые.

Данные резисторы отличаются высокой стабильностью параметров, слабой зависимостью сопротивления от частоты и напряжения и высокой надежностью. Отклонение сопротивления от номинального составляет 0,1%. Очень стойки к большим температурам. Широко распространены в радиотехнике.

3. В качестве светодиодов выбирается серия АЛ102В - данный тип светодиодов получил широкое распространение как в быту, так и в промышленной электронике. Очень компактны, потребляют очень мало энергии.

4. При проектировании устройства управления светодинамической индикацией необходимо использовать транзистор. Его выбор определяется следующими условиями: он должен быть биполярным, n-p-n типа.

Транзисторы КТ315A - транзисторы кремниевые планарно-эпитаксиальные n-p-n. Выпускаются в пластмассовом корпусе. Масса не более 0,18г. Обладают очень маленьким обратным током коллектора, коэффициент усиления от 20 до 90.

5. В качестве основных выбраны микросхемы 555-й серии, т.к. эта серия имеет низкое энергопотребление, широко распространение, имеют низкую стоимость, а также серия содержит всю требуемую номенклатуру, за исключением выходного усилителя, выполненного на микросхеме 155ЛН5, обеспечивающей требуемый (повышенный) выходной ток для управления тиристором.

6. Стабилизированный блок питания выполнен на микросхеме 142ЕН5А, реализующей блок питания +5В без дополнительной обвязки.

7. Диоды типа КД202Р обеспечивают выпрямление напряжения сети с током до 10 А.

8. Диоды КД208А обеспечивают выпрямление первичного напряжения для блока питания (12 В) с током до 1 А.

9. Тиристор КУ202Н обеспечивает управление напряжением до 400 В.

3.2 Выбор основных функций устройства, улучшенных по сравнению со схемами-аналогами

По сравнению с четырьмя устройствами, представленными в разделе 1.2, в проектируемом устройстве значительно больше светодинамических эффектов, отсутствуют режимы, когда все нагрузки включены, и когда они все выключены. Также схема управления выходными тиристорами предусматривает стробирование импульсов управления сигналом нулевого напряжения сети, в результате чего переключатель не создает коммутационные помехи для электрооборудования, которые тем больше, чем мощнее нагрузка.

4 РАСЧЕТНАЯ ЧАСТЬ

4.1 Расчет генератора

4.1.1 Цель расчета - расчет периода следования импульсов и частоты генератора импульсов

4.1.2. Методика расчета

Генератор импульсов реализован на элементах: R9, R10, R11, С13, DD1.6 (см. рисунок 4.1). Рассчитывается общий период следования импульсов и частота генерации импульсов.

Рисунок 4.1 - Изображение рассчитываемой части генератора импульсов

Частота генератора вычисляется по формуле:

, (4.1)

где: fген - частота генерации импульсов;

Т0 - период логического нуля;

Т1 - период логической единицы.

В представленном генераторе частота может меняться в зависимости от положения ползунка подстроечного резистора R11. Когда ползунок находится в самом верхнем положении - частота максимальна, когда в самом нижнем - частота минимальна. Таким образом, имеется две схемы для расчета - для минимальной частоты и максимальной.

Рассматривается для начала интегрирующая RC-цепь данного генератора (в качестве сопротивления в ней выступают резисторы R9, R10, R11 - в зависимости от положения ползунка подстроечного резистора R11, в качестве конденсатора выступает С13).

Напряжение на конденсаторе Uc при его заряде через резистор R от источника постоянного напряжения E изменяется по экспоненциальному закону:

(4.2)

Рисунок 5.2 - Кривая заряда конденсатора

Напряжение на конденсаторе Uc при его разряде через резистор R изменяется по закону:

(4.3)

Рисунок 4.3 - Кривая разряда конденсатора

1,7 В - пороговое значение напряжения логической единицы для входа микросхемы типа К555ТЛ2 [1];

0,9 В - пороговое значение напряжения логического нуля для входа микросхемы типа К555ТЛ2 [1];

Напряжение питания: Е=5 В.

Выведем формулы для нахождения t через формулы (4.2) и (4.3):

1) (4.4)

- при верхнем положении ползунка резистора R11.

- при нижнем положении ползунка резистора R11.

2) ; (4.5)

По формуле (4.4) вычисляется Т1 - период логической единицы, а по формуле (4.5) вычисляется Т0 - период логического нуля.

Т1 minв - Тн (4.6)

Тo minн - Тв (4.7)

Формулы (4.6) и (4.7) применяются при верхнем положении ползунка, т.е. при минимальном сопротивлении.

Т1 maxв - Тн (4.8)

Тo maxн - Тв (4.9)

Формулы (4.8) и (4.9) применяются при нижнем положении ползунка, т.е. при максимальном сопротивлении.

R9=3 кОм; R10=33 Ом; R11=1 кОм; С13=330 мкФ.

4.1.3 Расчет

1)

2) Т1 minв - Тн=

3) Тo minн - Тв=

4)

5) Т1maxвн=

6) Тo maxн - Тв=

4.2 Расчет cхемы управления нагрузкой

4.2.1 Цель расчета - определение сопротивления R5 и подбор резистора

4.2.2 Методика расчета

Схема управления нагрузкой содержит такие элементы: контакты для соединения с нагрузкой, тиристоры VD5-VD8, микросхему К155ЛН5 (шесть буферных инверторов с открытыми коллекторами) - DD5.1-DD5.4, микросхему К555ЛА3 (логическая функция И с инверсией) - DD8.1-DD8.4, резисторы R4-R7, а также диоды VD1-VD4.

Сопротивление резистора R5 определяется по формуле:

(4.10)

где: Uупр - напряжение схемы управления нагрузкой;

Iвых_м - ток с выхода микросхемы DD5.

Напряжение схемы управления нагрузкой для рассчитываемой схемы составляет 12 В, а ток с выхода микросхемы DD5.2 выбирается исходя из справочных данных микросхемы [1], относящейся к 155 серии технологии ТТЛ: Iвых_м=0,016 А.

4.2.3 Расчет

Исходя из расчета и ряда номинальных значений выбирается сопротивление из гостированного ряда, равное 750 Ом, т.к. ток Iвых_м - это номинальный ток выхода нуля и разброс значений сопротивлений резисторов не выведет его за допустимые пределы.

4.3 Расчет индикатора режимов

4.3.1 Цель расчета - определение сопротивления R12 и подбор резистора

4.3.2 Методика расчета

Индикатор режимов реализуется светодиодами VD17-VD20 красного, желтого, зеленого и синего цветов и резисторами R12-R15.

Сопротивление R12 определяется по формуле:

(4.11)

где: Uвых - напряжение на выходе микросхемы DD9;

UVD17 - напряжение на светодиоде VD17 (АЛ102В), определяемое по справочным данным [2];

Iном - ток на светодиоде VD17 (выбирается из справочных данных [2]).

4.3.3 Расчет

Т.к. в расчет взят максимальный ток свечения, то в результате расчета получено минимально допустимое значение сопротивления резистора. Принимается коэффициент запаса 50%, поэтому номинал сопротивления увеличивается в 1,5 раза и округляется исходя из ряда номинальных значений. Выбирается сопротивление, равное 180 Ом.

4.4. Расчет формирователя сброса

4.4.1 Цель расчета - расчет емкости на C12.

4.4.2 Методика расчета

Формирователь сброса включает в себя такие элементы: интегрирующая RC-цепочка, реализованная на резисторе R8 и конденсаторе C12, а также микросхема серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) -DD1.1, DD1.2.

Длительность импульса сброса должна превышать один период тактового генератора, чтобы гарантировать установку всех элементов схемы в требуемое исходное состояние. Минимальная частота генератора 4,56 Гц (исходя из расчета), соответственно период равен 0,22 с. Рассчитывается время достижения на входе микросхемы DD1.1 напряжения 1,7 В. Расчет проводится аналогично расчету длительности нулевого состояния тактового генератора по формуле (5.2). Сопротивление R8 выбираем исходя из номинального значения для микросхем 555-й серии (3 кОм).

, (4.12)

где: Е - напряжение источника питания;

Uс - напряжение заряда конденсатора (равное напряжению на входе микросхемы DD1.1);

(4.13)

Подставим (4.13) в (4.12):

(4.14)

4.4.3 Расчет

Выбираем значение С12 согласно гостированного ряда номинальных значений: С12=180 мкФ.

4.5. Расчет блока питания (расчет цепи, состоящей из резистора R3 и транзистора VT1)

4.5.1. Цель расчета - расчет номинала сопротивления R3.

4.5.2 Методика расчета.

Имеем формулу:

, (4.15)

где: I1_вх - значение максимального входного тока логической единицы для микросхемы ТТЛ серии К555ЛА3 (берется из справочных данных [1]);

Е - напряжение питания схемы;

Uпор - пороговое значение напряжения логической единицы для микросхем серии К555.

Из формулы (4.15) выразим R3:

(4.16)

4.5.3 Расчет

Согласно формуле (4.16) производится расчет:

Выбирается сопротивление R3, равное 4 кОм, т.к. I1_вх - максимальный входной ток для рассчитываемой микросхемы. Следовательно, рассчитанное сопротивление минимально и коэффициент запаса не учитывается.

4.6 Расчет блока питания (диодного моста VD13-VD16)

4.6.1 Цель расчета - расчет тока диодного моста VD13-VD16

4.6.2 Методика расчета.

Рисунок 4.4 - Изображение блока питания

Расчет производим по формуле:

(4.17)

где: Uпит - напряжение питания данной части схемы.

Само устройство имеет два вида питания: +12 В нестабилизированное, проходит через диодный мост VD9-VD12 и сглаживается через конденсатор С1, +5 В стабилизированное, получаемое на выходе стабилизатора напряжения DA1). В данной формуле Uпит=12 В;

Uтр-ра - напряжение насыщения коллектор-эмиттер (берется из справочных данных [3]).

4.6.3 Расчет

4.7 Расчет коэффициента нагрузки для микросхем DD2.1, DD1.1 и DD1.2

4.7.1 Цель расчета - определение нагрузочной способности микросхем

4.7.2 Методика расчета - подсчитывается количество входов элементов, подключаемых к выходам рассчитываемой микросхемы и проверяется, чтобы это количество не превосходило максимально возможное для данной серии, иначе возможны неполадки в процессе работы устройства.

4.7.3 Расчет

1) На выход 2 элемента DD1.1 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD4 и DD7, которые относятся к этой же серии.

2) На выход 4 элемента DD1.2 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD2.1 и DD9, которые относятся к этой же серии.

3) На выход 5 элемента DD2.1 (технология ТТЛ, серия К555) подключен один вход: микросхема DD6.4, которая относится к этой же серии.

4) На выход 6 элемента DD2.1 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD6.3 и DD2.1, которые относятся к этой же серии.

Из справочных данных [1] получается, что на один выход микросхемы серии К555 технологии ТТЛ, можно нагрузить 20 входов микросхем этой же серии или 40 входов серии 155.

Если принять эту нагрузочную способность, полученную по справочным данным за 100%, то коэффициент нагрузки выглядит так:

1)

2)

3)

4)

Кн не должен превышать 1. Т.к. во всех четырех случаях коэффициент нагрузки значительно меньше 1, то стекание входных токов от выходов других микросхем не превысит допустимую норму, а следовательно не приведет к неполадкам в устройстве.

4.8. Результаты электрических расчетов

Сведем результаты расчетов в таблицу 4.1:

Таблица 4.1 - Результаты расчетов

Позиционное обозначение

Исходное значение

Рассчитанное значение

Выбранное значение

R3

1 кОм

4 кОм

4 кОм

R5

750 Ом

750 Ом

750 Ом

R12

180 Ом

110 Ом

180 Ом

C12

100 мкФ

176,5 мкФ

180 мкФ

4.9 Расчет надежности

Надежность - свойство объекта сохранять во времени в установленных пределах значения всех параметров, характеризующих способность выполнять требуемые функции в заданных режимах. Для количественной оценки надежности важнейшее значение имеет отказ.

Отказ - событие, заключающееся в нарушении работоспособного состояния объекта.

Все количественные характеристики надежности электронных устройств имеют вероятностный характер, т.к. отказ является случайным событием. Электронная аппаратура относится к классу неремонтируемой, поэтому показателями ее надежности являются:

1) Вероятность безотказной работы P(t0) вероятность того, что в пределах заданной наработки отказа в объекте не возникнет:

. (4.18)

2) Средняя наработка до отказа определяется как ожидаемое время неисправной работы до первого отказа:

, (4.19)

где F(t) - функция распределения наработки до отказа.

3) Интенсивность отказов представляет условную вероятность возникновения отказов в системе, в некоторый момент времени наработки при условии, что до этого момента отказов не было:

, (4.20)

где n(t) - число систем (элементов), отказавших в течение рассматриваемого промежутка времени ?t;

N(t) - количество систем (элементов), работоспособных к началу промежутка времени ?t;

- показывает, какая часть элементов по отношению к среднему числу исправно работающих элементов выходит их строя в единицу времени (обычно 1 час).

На этапе нормальной работы средняя наработка до отказа определяется по формуле:

(4.21)

Исходными данными для расчета надежности являются типы элементов, их количество, значения интенсивности отказов, условия эксплуатации и режимы работы элементов.

Интенсивность отказов элемента в данных эксплуатационных условиях:

, (4.22)

где - интенсивность отказов элемента в нормальных условиях;

- эксплуатационные (поправочные) коэффициенты интенсивности отказов.

По справочным данным выберем значения поправочных коэффициентов:

(стационарные условия эксплуатации);

(влажность 60-70%, температура 20-40°С);

(высота 0-1 км).

Данные: состав элементов, их количество, режимы работы и интенсивность отказов в номинальных режимах , а также интенсивность отказов элементов с учетом условий эксплуатации , и произведение (1/ч) сведем в таблицу 4.2.

Таблица 4.2 - Расчет интенсивности отказов элементов

п/п

Наименование

элементов

Количество элементов nj

Интенсивность отказов элементов

Режимы работы элементов

Поправочный коэф. j

ni?j·i·10-6, 1/ч

?jн·10-6, 1/ч

?j·10-6, 1/ч

nj?j·10-6, 1/ч

Кн

t, с

1

Конденсаторы керамические (КМ6)

3

0,3

0,32

0,96

0,7

72 000

2,0

1,92

2

Конденсаторы К50-16

4

0,45

0,48

1,92

0,7

2,3

4,42

3

Резисторы С2-29

14

0,2

0,214

3

0,5

0,82

2,46

4

Резистор СП3-27а

1

0,02

0,0214

0,0214

0,5

0,82

0,018

5

Транзистор малой мощности низкой частоты (КТ315А)

1=]

1

1,07

1,07

0,75

0,61

0,653

6

Микросхемы

9

0,02

0,0214

0,043

0,8

1

0,043

7

Светодиоды АЛ102

4

0,1

0,107

0,428

0,6

1,22

0,522

8

Диоды выпрямительные

12

0,5

0,535

6,42

0,6

1,22

7,83

9

Тиристоры КУ202Н

4

0,1

0,107

0,428

0,7

1,22

0,522

10

Трансформатор

1

0,5

0,535

0,535

0,7

1,2

0,642

11

Пайки и пистоны

320

0,01

0,011

3,52

-

1

3,52

Интенсивность отказов данного устройства вычисляем:

(4.23)

Средняя наработка до отказа устройства:

ч. (4.24)

Вероятность безотказной работы в течение 20 часов:

. (4.25)

5. РАЗРАБОТКА VHDL-ОПИСАНИЯ ПРОЕКТА

5.1. Основные понятия и развитие языка описания аппаратуры VHDL

Языком описания называется набор синтаксических и семантических правил, определяющий формат представления устройств. Языки описания аппаратуры (ЯОА) позволяют создавать адекватные модели проектируемых устройств и эффективно выполнять соответствующее имитационное моделирование, обладая при необходимости независимостью от конкретных аппаратных структур.

Описательным возможностям ЯОА, а также средствам для документирования проектов всегда уделялось особенное внимание. Дело в том, что на различных этапах проектирования используются различные алгоритмы и программы и, соответственно, различные виды исходных данных. Поэтому требуются языки описания, позволяющие представлять исходные данные для проектирования в форме, воспринимаемой существующими пакетами программ. В зависимости от типа программы и набора исходных данных используются самые разнообразные языки описания: язык описания логических связей, язык описания соединений транзисторов, язык описания электрических постоянных и др. Однако каждый из языков описания является входным форматом независимо разработанных программ, и поэтому имеет индивидуальные особенности. Поскольку такие ЯОА специализированы, то они не взаимозаменяемы. В результате, при проектировании интегральных схем, например, возникала необходимость подготовить входные данные с использованием до 10 различных языков описания.

Сложно осуществить и автоматическое преобразование между языками, так как различаются принципы построения моделей описания. Это объясняется тем, что описательные способности первоначального языка в результате преобразования будут ограничены. Поэтому одной из основных задач в развитии ЯОА явилась разработка концепции общего языка описания.

Стандартизация ЯОА позволяет избежать избыточности в описательных выражениях языков и обеспечить их унификацию для поддержки документирования проектов. Этот аспект очень важен, так как сопровождение проекта документацией способствует успешному выполнению разработки. Способность ЯОА к многоуровневому представлению устройств обеспечивает транспортабельность проекта, что позволяет различным проектировочным подразделениям эффективно взаимодействовать.

Разработанные и унифицированные к настоящему времени языки описания аппаратуры (VHDL, ISP, UDL/I, Verilog, ICL и др.) поддерживаются большинством существующих и широко используемых систем автоматизированного проектирования (САПР) ВС (например, Mentor Graphics, Compass, Cadence). Унификация ЯОА позволяет организовывать эффективное взаимодействие между различными САПР, способствуя созданию экономичных проектов. Например, разработка, выполненная с использованием свободно распространяемой САПР БИС Alliance, в формате Verilog передавалась в САПР Cadence. На основании описания ВС в Cadence выполнялась автоматическая генерация тестов и моделирование устройства с целью проверки его функционирования на соответствие заданной функции.

Наиболее широкое применение приобретает язык VHDL (VHSIC Hardware Description Language). Он разрабатывался как язык описания аппаратуры для высокоскоростных интегральных схем. Первоначальное назначение языка заключалось в обеспечении обмена проектами между различными соисполнителями работ по созданию сверхскоростных интегральных схем. Однако позже с учетом предложений и рекомендаций известных специалистов в области ВС язык был усовершенствован и стандартизирован Институтом инженеров по электротехнике и радиоэлектронике (IEEE), в результате чего в 1987 году был утвержден стандарт IEEE Standart 1076 VHDL.

Язык VHDL обеспечивает высокоуровневую абстракцию описания аппаратных средств благодаря наличию как множества предопределенных типов данных, так и возможности создавать пользовательские иерархически организованные типы данных на основе базовых, заложенных в языке.

Благодаря этим возможностям, и, так как язык VHDL легко воспринимается как программными средствами, так и человеком, он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры, для передачи пректных данных, модификации и сопровождения проекта. В настоящее время он используется для работы с ВС любого уровня сложности - микросхема, плата, блок, устройство, ЭВМ, комплекс. [7]

5.2 Применение языка VHDL при разработке устройства управления светодинамической индикацией

В данном бакалаврском проекте основной задачей являлось создание VHDL-описания устройства управления светодинамической индикацией. Описание данного устройства проводилось согласно принципиальной схеме, которая вынесена на плакат.

VHDL поддерживает три различных стиля для описания аппаратных архитектур: структурное описание, потоковое описание, поведенческое описание. Все три стиля могут самостоятельно или совместно использоваться для проектирования архитектуры ВС. При структурном описании (structural description) объекта проекта архитектура представляется в виде иерархии связанных компонентов. [7]

Каждый экземпляр компонента представляет часть проекта, которая, с другой стороны, может быть описана объектом проекта низшего уровня, также состоящим из связанных компонентов. Таким способом может быть построена иерархия объектов проекта, которая представляет весь проект. Компонентом может быть один вентиль, микросхема, плата или целая подсистема; иерархия может представлять структурное разбиение проекта или функциональную декомпозицию.

Вначале необходимо описать интерфейсы и архитектурные тела для всех подсистем (компонентов). В структурном архитектурном теле перед ключевым словом begin располагается описание внутренних для архитектуры сигналов, служащих для соединения между собой базовых компонент. Внутри архитектурного тела порты интерфейса также могут быть интерпретированы как сигналы. В этой же области архитектуры (до ключевого слова begin) располагаются описания базовых компонент, из которых она строится. Во второй части архитектурного тела находится совокупность реализаций компонент. Каждая реализация является копией объекта (entity), представляющего подсистему и использующего соответствующее архитектурное тело. Ключевые слова port map специфицируют межсоединения портов каждой реализации компонента с внутренними сигналами архитектуры и сигналами интерфейса основного объекта, который построен на базе этих компонент.

В данном проекте использовался структурный стиль с описанием некоторых компонент в поведенческом (DFF, TFF, generator), а также в потоковом стиле (компонент мультиплексор). Весь текст VHDL-описания устройства приведен в приложении А. Структурный стиль VHDL-описания основной программы был выбран по нескольким причинам: во-первых, этот стиль отличается наглядностью, во-вторых, из-за возможности использования библиотечных компонентов, в-третьих, этот стиль позволяет создавать довольно большие по объему программы без потери логических связей (например, потоковый стиль по этой причине совершенно не подходит).

Для моделирования работы двух элементов устройства (counter_IE7, counter_IE8) использовался графический редактор для создания моделей в виде цифровых автоматов (Finite State Machine Editor). Редактор конечного автомата допускает простой и однозначный графический ввод проекта. Так как проект может быть легко перенастроен на любой конечный элемент, редакторы автоматов становятся очень популярными среди проектировщиков, которые по достоинству оценивают технологическую независимость. Редактор состояний автоматов допускает графический ввод информации в проект в форме конечных автоматов. Таким образом, конечный автомат (FSM) представляет собой процесс переходов между состояниями в ограниченные числе "состояний". Проект FSM содержит следующие атрибуты:

- список состояний;

- список команд, которые могут быть выполнены во время переходов из состояния в состояние;

- список действий, которые будут сделаны для каждой команды.

Графы состояний, выполненные в FSM, а также временные диаграммы счетчиков counter_IE7 и counter_IE8 представлены на плакате.

Создание модели устройства управления светодинамической индикацией на языке VHDL преследовало две цели.

1 Проверка соответствия поведения синтезированного устройства его функциональному описанию.

2 Полученное VHDL-описание может являться исходными данными для автоматического синтеза заказной БИС, реализующей устройство. Реализация устройства в виде одной БИС значительно снижает стоимость, габариты, повышает надежность, простоту изготовления.

6 ТЕХНИКО-ЭКОНОМИЧЕСКОЕ ОБОСНОВАНИЕ РАЗРАБОТКИ УСТРОЙСТВА УПРАВЛЕНИЯ СВЕТОДИНАМИЧЕСКОЙ ИНДИКАЦИЕЙ

6.1 Описание характеристики изделия

В качестве нового изделия предлагается эффективная схема устройства управления светодинамической индикацией. Достоинствами нового изделия являются достаточно большое количество реализуемых световых эффектов при относительно низких аппаратурных затратах, а также широкая область применения устройства. В данной работе были предложены расчеты электрических параметров, показателей надежности, а также моделирование схемы на языке описания аппаратуры VHDL. Обработка результатов моделирования и разработка программы велись на персональном компьютере.

Данная работа может применяться при производстве электронных устройств на базе программируемых логических интегральных схем, когда основными требованиями к разработке являются требование надежности электронного устройства, его универсальности, а также низкого энергопотребления и сравнительно невысокой себестоимости. Имеющиеся на рынке аналоги уступают разрабатываемому устройству по количеству реализуемых световых эффектов, помимо этого они могут создавать помехи в работе другого электрооборудования, включенного в общую с ними сеть.

Возможности применения светодинамической установки широки, например, при оформлении различных эстрадных представлений, дискотек, иллюминировании фасадов зданий.

Элементная база данного устройства подобрана из доступных и недорогих элементов, что делает его доступным для внедрения в производство.

Некоторые параметры и характеристики разрабатываемого изделия приведены в таблице 6.1.

Таблица 6.1 - Описание характеристики изделия

Наименование показателя

Краткая характеристика

Нагрузка

4 электролампочки 220 В, мощностью до 100 Вт

Питание

Сеть переменного тока 220В +10% -15%

Потребляемая мощность

Около 10 Вт

Тип корпуса и размеры готового изделия

Пластмассовый корпус, вес: около 400г, размеры: 180х190х50 мм

Условия эксплуатации

Закрытые помещения при температуре 0-400С, относительная влажность до 80%.

6.2 Расчет себестоимости и цены изделия

Себестоимость представляет собой выраженные в денежной форме текущие затраты предприятия, ПО, НИИ на производство и реализацию продукции. В ходе производственно-хозяйственной деятельности эти затраты должны быть возмещены за счет выручки от продаж.


Подобные документы

  • Классификация моделей по типу отражаемых свойств средств управления. Этапы математического моделирования. Уровни и формы математического описания для системы управления летательного аппарата. Линейная модель многомерных систем в пространстве состояний.

    презентация [600,0 K], добавлен 27.10.2013

  • Способы изготовления заготовки (виды литья), которая может использоваться как опора или корпус, выбор наиболее эффективного из них (литье в песчано-глинистые формы). Разработка технологического процесса изготовления заготовки и детали, полученной из нее.

    контрольная работа [2,4 M], добавлен 24.12.2011

  • Разработка принципиальной и силовой схем, логической программы управления электроприводом производственной установки. Расчёт его мощности и режима работы. Выбор аппаратуры защиты, контроля параметров, распределения электрического тока, сигнализации.

    курсовая работа [337,1 K], добавлен 07.09.2015

  • Технологическая характеристика широкополосного стана НШС-2000, назначение и устройство вальцетокарного калибровочного станка специальный модели IК825Ф2 с цифровой индикацией и управлением. Составление и описание работы схемы управления во всех режимах.

    дипломная работа [362,8 K], добавлен 19.03.2012

  • Расчет мощности, выбор электродвигателя привода установки-металлоуловителя, ленточного конвейера. Разработка принципиальной схемы управления электроприводами, логическая схема управления. Расчет и обоснование выбора аппаратуры. Определение объема памяти.

    курсовая работа [326,5 K], добавлен 24.02.2012

  • Характеристика автономных и сетевых систем контроля и управления доступом, рассмотрение их структурных схем и технических особенностей. Рекомендации по выбору оптимальных средств и систем контроля доступа по техническим и экономическим показателям.

    курсовая работа [5,0 M], добавлен 30.01.2011

  • Построение логической схемы комбинационного узла и принципиальной электрической схемы дискретного управляющего устройства. Исследование принципа работы устройства, его предназначения и строения. Анализ принципа жесткой логики на интегральных микросхемах.

    практическая работа [735,5 K], добавлен 27.12.2012

  • Анализ работы звукорежиссера в условиях съёмки кинофильма с записью звука на многоканальный цифровой аппарат записи звука. Особенности выбранной аппаратуры и синхронизации изображения и аудиосигнала. Схемы расположения съемочного и звукового оборудования.

    курсовая работа [3,6 M], добавлен 04.05.2014

  • Моделирование автоматизированной системы регулирования. Методики разработки моделей систем управления и их исследования средствами пакета Simulink. Реализация численного анализа математических моделей объектов управления. Вычислительные эксперименты.

    курсовая работа [1,6 M], добавлен 30.12.2016

  • Принцип работы устройства для измерения давления фундамента на грунт. Анализ устройства по законам развития технических систем. Энергетическая и информационная проводимость. Статическая модель технического противоречия на основе катастрофы типа сборка.

    курсовая работа [1,1 M], добавлен 04.11.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.