Розробка та виготовлення ультразвукового локатора для лабораторного мобільного робота

Визначення лабораторним мобільним роботом перешкод, маневрування між ними за допомогою ультразвукового локатора, його розробка та виготовлення. Основи теорії ультразвукової локації. Програмне забезпечення системи, його реалізація в середовищі Сі.

Рубрика Программирование, компьютеры и кибернетика
Вид дипломная работа
Язык украинский
Дата добавления 25.10.2012
Размер файла 3,2 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Тепер прилад готовий до прийому відбитого сигналу УЗ-приймачем. Компаратор Comparator_A конфігурований на очікування сигналу ехо-камери, після отримання якого формується переривання для "захоплення" значення таймера Timer_A в регістрі захоплення-порівняння CCR1. Це значення відповідає виміряному часу, протягом якого ультразвукові хвилі долали відстань від випромінювача до об'єкту і назад. До набутого значення додається 48 для компенсації часу формування 12 імпульсів "пачки" і 36 тактів очікування встановлення передавача. Скоректованим значенням в регістрі CCR1 є точний часовий інтервал між початком "пачки" і часом прийняття ехо-камери. Далі викликається підпрограма математичної обробки, що обчислює значення відстані в дюймах і повертає відповідний результат. У разі перевантаження (об'єкт поза зоною дії) ехо-камера не буде отримана і компаратор Comparator_A не сформує сигнал переривання. MSP430 залишиться в режимі зниженого енергоспоживання LPM0 до наступного переривання базового таймера. В цьому випадку перевіряється біт CAIFG в регістрі CCTL1 для встановлення того факту, що ехо-камера не була отримана. Щоб відобразити цей стан, в буфер DIGITS записується значення 0xBE, що приведе до індикації букви E на екрані ЖКІ. Далі програма повертається на початок основного циклу Mainloop, оновлює індикацію на ЖКІ і переходить в режим зниженого споживання LPM3. Наступне переривання базового таймера Basic Timer1 поверне MSP430 в активний режим і виконання програми продовжиться.

Математичні обчислення Math_calc

Підпрограма Math_calc відповідає за виконання математичних обчислень, необхідних в приладі. Скоректоване 16-бітове значення в регістрі CCR1 зберігається в змінній Result. Це значення представляє з себе час, протягом якого ультразвукові хвилі долали відстань від випромінювача до об'єкту і назад. Оскільки таймер Timer_A вважає час 25-мікросекундними інтервалами, то реальний час обчислюється як Result X 25 мкс. Зважаючи, що швидкість звуку при кімнатній температурі складає 1100 футів/сік, значення Result, отримане з таймера Timer_A відповідає 6-ти відлікам на один дюйм відстані. Таким чином, розділивши значення Result на 6, набудемо очікуваного значення відстані в дюймах. Для забезпечення необхідної точності при використанні цілочисельних обчислень в MSP430, 16-бітове значення Result спочатку множиться на 100, потім ділиться на 6. Множення 16X16-бит здійснюється підпрограмою Mul100.32-бітовий результат множення зберігається в змінних htX100_msw і htX100_lsw. Після цього 32-бітовий результат ділиться на 6 і набутого значення записується в осередок DIGITS. У цій змінній значення зберігається в шістнадцятирічному виді. Підпрограма hex2bcd конвертує його в двійково-десятковий формат (BCD), при цьому дві останні цифри двійково-десяткового числа відкидаються щоб компенсувати попереднє множення на 100. Отримане дворозрядне число записується назад в осередок DIGITS.

Обробник переривання базового таймера BT_ISR

Підпрограма обробки переривань базового таймера Basic Timer1 BT_ISR змінює біти статусного регістра SR, що знаходиться в стеку так, щоб забезпечити функціонування MSP430 в активному режимі після повернення з підпрограми обробки переривань. Це вимагається для того, щоб MSP430 продовжив виконання програми, що йде за інструкцією LPM3 в основному циклі Mainloop.

Індикація Display

Ця підпрограма оновлює інформацію на двохцифровому статичному ЖКІ використовуючи інформацію, що міститься в змінній DIGITS. Дані для ліній сегментів статичного ЖКІ зберігаються в таблиці LCD_Tab. Завантаження в пам'ять ЖКІ необхідних даних для сегментів здійснюється вибіркою з таблиці LCD_Tab значення, відповідного числу, що зберігається в змінній DIGITS.

Затримка Delay

Ця підпрограма забезпечує програмну затримку з необхідним 16-бітовим значенням. Значення в регістрах не змінюються, оскільки для рахунку використовується змінна, розташована вверху стека (TOS). Після закінчення затримки покажчик стека (SP) інкрементується, таким чином, перед поверненням з підпрограми йому повертається первинне значення.

Такі периферійні пристрої, як аналоговий компаратор Comparator_A, 16-бітовий таймер Timer_A з апаратними регістрами захоплення-порівняння, базовий таймер Basic Timer1 і драйвер ЖКІ значно спрощують розробку ультразвукового далекоміра і забезпечують однокристальне рішення. Середній споживаний струм приладу 1.3 мА при вимірі відстані в 15 дюймів. Сюди входить власне споживання LDO - стабілізатора U2, операційного підсилювача U3, і КМОП-инвертера U4. Тільки ОП споживає 1 мА, таким чином, уся схема, що залишилася, споживає 300мкА. Струм світлодіода у включеному стані - 5 мА. MSP430 споживає в середньому 2.1 мкА з урахуванням постійно включеного ЖКІ. Це стало можливим завдяки використанню переваг функцій ультранизького енергоспоживання MSP430. Основний час MSP430 знаходиться в режимі зниженого споживання LPM3, ресурси ЦПУ використані усього на 5.6%.

Оскільки швидкість звуку залежить від температури, вимір відстані матиме велику погрішність при температурах, що відрізняються від кімнатної. Для підвищення точності виміру в усьому діапазоні температур прилад може бути доповнений простим вимірником температури на термісторі і відповідною корекцією свідчень. Виміряна відстань і температура також, за бажанням, можуть бути збережені у вбудованій флеш-пам'яті. Додавання додаткового каскаду посилення сигналу приймача і використання мультиплексованого ЖКІ з будь-якою бажаною кількістю цифр дозволить збільшити діапазон виміру.

Логіко-функціональна схема роботи системи.

Рис.3.25 Функціональна схема лабораторного мобільного робота з ультразвуковим локатором

При ввімкнені живлення мобільного робота запускається схема ручного керування. В роботі знаходяться блоки: 1, 2, 3,8. На пульті ручного керування (блок 5) є своє живлення (блок 5б) і вимикач живлення. Також на пульті ручного керування є перемикачі керування двигунами та перемикач зміни керування на автоматичне. При ручному керуванні блок 5а приймає команди перетворює їх на радіохвилі і передає мобільному роботу в блок 3а. Блок 3а перетворює радіохвилі в електричні імпульси і передає їх в блок 3б. Блок 3б перетворює електричні імпульси в цифрові сигнали керування мобільним роботом і передає їх по відповідному каналу через електронний ключ перемикання управління (блок 2) в блок управління двигунами (блок 1). Згідно тому на який вхід приходить керуючий імпульс блок управління двигунами подає напругу на двигуни.

Для ввімкнення автоматичного керування в блоці 5 ручного керування вмикаємо вимикач ручного керування сигнал проходить через блоки: 5а, 3а, 3б,

2. Електронний ключ (блок 2) перемикає входи і приймає керуючи сигнали з блоку автоматичного керування мобільним роботом (блок 4).

В автоматичному режимі працюють всі блоки. Сигнали про перешкоди приймаються датчиками ультразвукового локатора (Блоки 9, 10, 11,12) і передаються в блок прийому і обробки сигналів датчиків (блок 6). Блок 6 згідно прийнятих сигналів вираховує відстань до перешкоди передає керуючи цифрові імпульси на дисплей (блок 7) та в блок автоматичного керування (блок 4). Блок автоматичного керування приймає рішення що до руху мобільного робота і передає керуючи імпульси по відповідним каналам через електронний ключ (блок2) в блок керування двигунами (Блок 1). Для того щоб блок автоматичного керування мобільним роботом орієнтувався з якого боку перешкода кожний ультразвуковий датчик передає сигнали по своєму каналі.

Рис.3.26 Принципова схема силової частини мобільного робота

3.5 Розробка прикладного ПЗ для лабораторного мобільного робота

/**********************************************************

Програма руху лабораторного мобільного робота

***********************************************************/

##include <avr/io. h>

/***************** /*****************

Функція затримки ****************/

void delay (unsigned short ms) // Мінімальна затримка з кварцем 8 Мгц - 1 мс

{

unsigned short i, j, k; // оголошуємо змінні

for (i=0; i<ms; i++) // головний цикл формування затримки

for (j=0; j<185; j++) // вкладений цикл формування затримки

k++; // довільна дія

}

/****************************************************/

int main (void) // початок основної програми

{

DDRC = 0xff; // усі виводи порту C конфігурувати як виходи

DDRD = 0x00; // усі виводи порту D конфігурувати як входи

PORTD = 0xff; // встановити "1" на усіх виводах порту D

// // включаємо підтягуючі резистори

while (1) { // Нескінченний цикл

// // ПЕРЕВІРЯЄМО СИГНАЛ НИЗЬКОГО РІВНЯ ВІД УЛЬТРАЗВУКОВИХ ДАТЧИКІВ

if (! (PIND & (1<<PIND1))) // перевірити "0" на лінії 1 порту D

{

// - ----------- - // включаємо мотори вперед - ----------

PORTC |= _BV (PC1); // встановити "1" на лінії 1 порту C

PORTC &= ~_BV (PC2); // встановити "0" на лінії 2 порти C

PORTC |= _BV (PC3); // встановити "1" на лінії 3 порти C

PORTC &= ~_BV (PC4); // встановити "0" на лінії 4 порти C

// - ----------------------------------------------------

}

else

{

// - ------------ - // - --------------- - від'їзд назад - -----------------

// - ----- - // - ----- - включаємо мотори назад на 1,8 сік - -------

PORTC &= ~_BV (PC1); // встановити "0" на лінії 1 порту C

PORTC |= _BV (PC2); // встановити "1" на лінії 2 порти C

PORTC &= ~_BV (PC3); // встановити "0" на лінії 3 порти C

PORTC |= _BV (PC4); // встановити "1" на лінії 4 порти C

delay (1800); // чекаємо 1,8 сек.

// - -------------------------------------------------

// - ------ - // - --- - поворот - -------------------

// // правий мотор вперед, лівий мотор стоп на 0,6 сік

PORTC |= _BV (PC1); // встановити "1" на лінії 1 порту C

PORTC &= ~_BV (PC2); // встановити "0" на лінії 2 порти C

PORTC |= _BV (PC3); // встановити "1" на лінії 3 порти C

delay (600); // чекаємо 0,6 сек.

// - -------------------------------------------------

}

} // закриваюча дужка нескінченного циклу

} // закриваюча дужка основної програми

Розробка прикладного ПЗ для ультразвукового локатора

; ******************************************************************

; програма ультразвукового далекоміра на базі MSP430F413

;

; ******************************************************************

##include "msp 430x41x. h"; Стандартні визначення

; Призначення регістрів

; ******************************************************************

#define DIGITS R11

#define Result R10

#define IRBT R9

#define IROP1 R4

#define IROP2L R5

#define IROP2M R6

#define IRACL R7

#define IRACM R8

; ******************************************************************

; Визначення змінних

; ******************************************************************

RSEG UDATA0

htX100_msw: DS 2; слово по адресам ОЗУ RAM 200h & 201h

htX100_lsw: DS 2; 202h & 203h

; ******************************************************************

RSEG CSTACK; Початок сегменту стека

DS 0

RSEG CODE; Початок програмного сегменту

RESET mov. w #SFE (CSTACK), SP; Покажчик стека

call #Init_Device; Ініціалізація пристрою

mov. w #0, DIGITS; Очищення буфера DIGITS

Mainloop

bic. b #CAON,&CACTL1; Відключити компаратор Comparator_A

call #Display; Вивести дані на ЖКИ

bis. w #LPM3, SR; Перейти в режим зниженого споживання LPM3

; *****

Відправити пачку імпульсів і почати виміри ********************

clr. w &CCTL1; Заборонити CCTL1

clr. w &TACTL; Заборонити таймер timer_A

bis. b #BIT0,&P1OUT; Включити світлодіод

SetupTimerA

mov. w #TASSEL0+TACLR+MC1,&TACTL; TACLK = ACLK, 16 битий режим up mode

bis. b #BIT5,&P1SEL; виведення ACLK на P1.5

mov. w #12,&CCR1; 12 імпульсів частотою 40KHz

mov. w #CCIE,&CCTL1; Переривання в режимі порівняння

bis. w #LPM0, SR; Чекаємо переривання від CCR1

bic. b #BIT5,&P1SEL; Відключити ACLK на порту P1.5

TimerCLR bis. w #TACLR,&TACTL

mov. w #36,&CCR1; Пауза для встановлення передавача

mov. w #CCIE,&CCTL1; Переривання в режимі порівняння

bis. w #LPM0, SR; Чекаємо переривання від CCR1

bis. b #CAON,&CACTL1; Включити компаратор Comparator_A

bic. b #CAIFG,&CACTL1; Очистити прапор переривання від Comparator_A

mov. w #CM0+CCIS0+SCS+CAP+CCIE,&CCTL1; Переривання по фронту від компаратора

push &TAR; TOS = TAR на початку виміру

bis. w #LPM0, SR; Чекаємо переривання від CCR1 (Ехо-камера)

clr. w &CCTL1; Забороняємо CCTL1

bic. b #BIT0,&P1OUT; Вимикаємо світлодіод

bit. b #CAIFG,&CACTL1; Перевіряємо, чи прийнята ехо-камера

jz Next; якщо немає - перевантаження

mov. w &CCR1, Result; Result = TAR (CCR1)

sub. w @SP+, Result; Result = час

add. w #48, Result; Компенсуємо час на випромінювання пачки імпульсів і затримку 36 циклів на встановлення передавача

;

; ******** Завершення виміру

*********************************************

call #Math_calc; Виклик підпрограми математичних обчислень

swpb DIGITS; Зрушення на дві цифри для емуляції ділення на 100

jmp Mainloop; Наступний цикл виміру

Next mov. w #0beh, DIGITS; Вивести на ЖКИ "Е" якщо не прийнята ехо-камера

jmp Mainloop

; ******************************************************************

Init_Device; Ініціалізація MSP430x41x

; ******************************************************************

mov. w #WDTPW+WDTHOLD,&WDTCTL; Зупинити сторожовий таймер WDT

bis. b #030h,&FLL_CTL0; Включити вбудовані конденсатори генератора

call #Delay; Затримка для стабілізації генератора

mov. b #03fh,&SCFQCTL; MCLK = 40КГцX64 = 2.56МГц

call #Delay; затримка для стабілізації помножувача частоти FLL

SetupP1 mov. b #000h,&P1OUT; Очистити вихідну клямку порту P1

bis. b #0bfh,&P1DIR; невживані виводи призначити виходами

bis. b #040h,&P1SEL; Вивід буде використаний для компаратора Comp_A

SetupP2 mov. b #000h,&P2OUT; Очистити вихідну клямку порту P2

bis. b #0ffh,&P2DIR; невживані виводи призначити виходами

SetupP6 mov. b #000h,&P6OUT; Очистити вихідну клямку порту P2

bis. b #0ffh,&P6DIR; невживані виводи призначити виходами

SetupBT mov. b #BTFRFQ0+BTFRFQ1+BTIP2+BTDIV,&BTCTL; Дозволити базовий таймер BT

; з частотою оновлення ЖК 150 Гц

; і перериваннями кожні 250 мс

SetupCA mov. b #CAPD6,&CAPD; Заборонити буфер в компараторі

mov. b #P2CA0,&CACTL2; P1.6 - вхід компаратора

mov. b #CARSEL+CAREF1+CAON,&CACTL1; Включити компаратор, внутр. опора 1/2Vcc

SetupLCD bis. b #LCDON+LCDSON+LCDSG0_7, LCDCTL; Вкл. модуль ЖК в статичному режимі

ClearLCD mov #15, R15; Очищення 15 знакомісць ЖКИ

mov. b #LCDMEM, R14

Clear1 mov. b #0,0 (R14); Запис нулів в дисплейну пам'ять

inc. b R14

dec R15; Усі сегменти очищені?

jnz Clear1; Якщо немає - чистимо далі

bis. b #BTIE,&IE2; Дозволити переривання від базового таймера Basic Timer

eint; Загальний дозвіл переривань

ret

; ************************************************************************

BT_ISR; Обробник переривань базового таймера Basic Timer

; після RETI ЦПУ залишається в активному режимі

; ******************************************************************

bic #LPM3, 0 (SP); Очистити біти LPM3 в стекеTOS

reti; при поверненні з переривання

; ******************************************************************

TAX_ISR; Загальний обробник переривання для регістрів захвата-

; порівняння CCR1 - 4 і переповнювання таймера

; ******************************************************************

add. w &TAIV, PC; Додати зміщення, відповідне джерелу

; переривання до програмного лічильника PC

reti; CCR0 - не обробляється

jmp CCR1_ISR; по CCR1 викликається обробка

reti; CCR2 - не обробляється

reti; CCR3 - не обробляється

reti; CCR4 - не обробляється

TA_over reti; переповнювання таймера Timer_A

CCR1_ISR bic. w #CCIFG,&CCTL1

bic. w #LPM0, 0 (SP); По reti вихід з режиму зниженого споживання LPM0

reti;

; ******************************************************************

Display; Підпрограма індикації значень DIGIT1 & DIGIT2

; ЦПУ використовує регістри R15, R14, R13 і R12, вони не зберігаються

; ******************************************************************

mov. w #LCDM1, R15; В R15 покажчик на першу позицію ЖКИ

mov. b DIGITS, R14; Значення копіюється в R14

OutLCD mov. b R14, R13; R14 копіюється в R13

rra. b R13; Зрушення управо

rra. b R13; 4 рази

rra. b R13; для обміну

rra. b R13; тетрад місцями

and. b #0Fh, R14; молодша тетрада - > R14

and. b #0Fh, R13; старша тетрада - > R13

mov. b LCD_Tab (R14), R12; Виведення молодшої тетради в 1-у цифру ЖКИ

mov. b R12, 0 (R15); Виведення сегментів a & b молодшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів з & d молодшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів e & f молодшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів g & h молодшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b LCD_Tab (R13), R12; Виведення старшої тетради в 2-у цифру ЖКИ

mov. b R12, 0 (R15); Виведення сегментів a & b старшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів з & d старшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів e & f старшої тетради в ЖКИ

rra. w R12

inc. b R15

mov. b R12, 0 (R15); Виведення сегментів g & h старшої тетради в ЖКИ

rra. w R12

ret

; ******************************************************************

; Визначення ЖКИ

; ******************************************************************

; Визначення сегментів

a equ 001h

b equ 010h

c equ 002h

d equ 020h

e equ 004h

f equ 040h

g equ 008h

h equ 080h

Blank equ 000h

LCD_Tab db a+b+c+d+e+f; Відображує "0"

db b+c; Відображує "1"

db a+b+d+e+g; Відображує "2"

db a+b+c+d+g; Відображує "3"

db b+c+f+g; Відображує "4"

db a+c+d+f+g; Відображує "5"

db a+c+d+e+f+g; Відображує "6"

db a+b+c; Відображує "7"

db a+b+c+d+e+f+g; Відображує "8"

db a+b+c+d+f+g; Відображує "9"

db a+b+c+e+f+g; Відображує "A"

db Blank; Порожній екран

db a+d+e+f; Відображує "C"

db b+c+d+e+g; Відображує "D"

db a+d+e+f+g; Відображує "E"

db a+e+f+g; Відображує "F"

; ******************************************************************

Delay; Програмна затримка

; ******************************************************************

push #0FFFFh; Затримка - > TOS

DL1 dec. w 0 (SP); Декремент TOS

jnz DL1; Затримка виконана?

incd SP; Очищення TOS

ret; Повернення з підпрограми

; ******************************************************************

Math_calc; Підпрограма математичних обчислень

; ******************************************************************

mov. w #0h, DIGITS; Очистити DIGIT

cmp. w #0h, Result; Перевірка результат рахунку Result на 0

jeq calc_over; Якщо 0 - на вихід

call #Mul100; Result множиться на 100

call #Divide; Потім ділиться на #06d

call #Hex2bcd; Перетворення 16-бітового двійкового числа

; у двійково-десяткове (BCD) Result xx. xx

calc_over ret; Повернення з підпрограми

; ******************************************************************

Mul100; Підпрограма множення на 100

; вхідні дані Result 16 біт і константа 64h (100d) 16 біт

; на виході 32 біт змінні htX100_msw & htX100_lsw

; ******************************************************************

mov. w #100, IROP1; Завантажити 1-й множник 100 в IROP1

mpyu clr. w htX100_lsw; Очистити буфер для молодшої частини слова

clr. w htX100_msw; І для старшої

macu clr. w IROP2M; Очистити 2-й множник

L$002 bit. w #1, IROP1; Перевірка молодшого біта

jz L$01; Якщо 0, не робити нічого

add. w Result, htX100_lsw; Якщо 1, додати Result до твору

addc. w IROP2M, htX100_msw;

L$01 rla. w Result; Твір X 2

rlc. w IROP2M;

rrc. w IROP1; Перевірка наступного біта

jnz L$002; Якщо в carry "1", то завершуємо

ret

; ******************************************************************

Divide; Підпрограма ділення 32-бітового числа на 16-бітове

; вхідні дані 32-бит htX100_msw & htX100_lsw і 16-бітова константа #06

; на виході 16-бітова змінна DIGIT

; ************************************************************************

clr. w DIGITS; Очищення буфера для нового результату

mov. w #17, IRBT; Організація циклу

div1 cmp. w #06, htX100_msw; Порівняти дільника із старшим словом ділимого

jlo div2; Якщо менше - перехід на div2

sub. w #06, htX100_msw; Відняти 6 із старшого слова

div2 rlc. w DIGITS; Зрушити результат вліво через біт перенесення

jc div4; Якщо в carry "1", то завершуємо

dec. w IRBT; Декремент лічильника циклу

jz div3; Якщо лічильник = 0: завершення

rla. w htX100_lsw; Ділиме X 2

rlc. w htX100_msw;

jnc div1; Якщо в carry "0" перехід до div1

sub. w #06, htX100_msw; Відняти 6 із старшого слова

setc; Встановити carry в "1"

jmp div2; Повтор

div3 clrc; Очистити carry

div4 ret; Повернення з підпрограми

; ******************************************************************

Hex2bcd; Підпрограма переведення 16-бітового шістнадцятиричного значення

; у двійково-десяткове (BCD) вхідні дані 16-бит шістнадцятиричні в DIGITS,

; вихідні там же в BCD

; ******************************************************************

mov #16, r9; в R9 число біт

clr r8; Обнулити R8

clr r7; Обнулити R7

L$1 rla DIGITS; Арифметичне зрушення DIGITS вліво

dadd r7, r7; Десяткове складання

dadd r8, r8; source + carry - > destination

dec r9; Декремент лічильника біт

jnz L$1; Усе 16 біт оброблені?

mov r7, DIGITS; Результат - > DIGITS

ret; Повернення з підпрограми

; ******************************************************************

COMMON INTVEC; Вектора переривань MSP430x41x

; ******************************************************************

ORG BASICTIMER_VECTOR

BT_VEC DW BT_ISR; Вектор базового таймера Basic Timer

ORG TIMERA1_VECTOR; Вектор таймера Timer_A

TIMA_VEC DW TAX_ISR;

ORG RESET_VECTOR

RESET_VEC DW RESET; POR, зовнішній Reset, сторожовий таймер Watchdog

; ******************************************************************

END

4. Економічне обґрунтування доцільності розробки локатора для лабораторного мобільного робота

Ціль написання даного розділу є розрахунком витрат на розробку і виготовлення,, Ультразвукового локатора для лабораторного мобільного робота”, частковий розрахунок економічного ефекту з впровадженням універсального лабораторного мобільного робота з ультразвуковим локатором у виробництво.

4.1 Організаційно-економічна частина

Метою написання даного розділу є розрахунок витрат на розробку і виготовлення лабораторного мобільного робота з ультразвуковим локатором, здатного самостійно рухатися, огинати на своїй дорозі перешкоди. Даний мобільний робот буде розміщений в учбовій лабораторії метрології, вимірювань та основ робототехніки Криворізького інституту Кременчуцького університету економіки, інформаційних технологій та управління.

Засоби необхідні для розробки лабораторного мобільного робота з ультразвуковим локатором: персональна ЕОМ, осцилограф, принтер, паяльні установки і набір системотехнічних інструментів.

Для роботи проектованого мобільного робота необхідно:

Осцилограф, генератор імпульсів і інші прилади лабораторії.

Розроблений лабораторний мобільний робот з ультразвуковим локатором, дозволить студентам других і третіх курсів денної та заочної форми навчання наочно вивчати принцип роботи базових елементів електроніки і мікросхемотехніки. Технічна модель призначена для демонстрації ультразвукової ехолокації.

4.2 Розрахунок витрат на розробку, виготовлення, впровадження та експлуатацію лабораторного мобільного робота з ультразвуковим локатором

Таблиця 4.1

Платформа

Назва елементу

Кількість

Ціна за шт., грн.

Сума, грн

Дитяча іграшка "ТАНК"

1

350

350

Алюмінієвий швелер 1м

4

2

8

Разом 358

Таблиця 4.2

Ультразвуковий локатор

Назва елементу

Кількість

Цена за шт., грн.

Сума, грн

Паркувальний радар

Autofun P216

1

250

250

Разом 250

Таблиця 4.3

Схема керування

Назва елементу

Кількість

Ціна за шт., грн.

Сума, грн

Плата

1

5

5

Мікропроцесор Atmega8

1

12

12

Конденсатор 100*6в

4

0.5

2

Резистори

4

0.5

2

Провід 1м

0,5

2,5

2,5

Разом 23,50. Загальна вартість на розробку складає - 631,50 грн.

Зарплата розробника:

1 чоловік - 1 місяці = 2000 грн.

Нарахування на соціальне страхування:

В пенсійний фонд - 33,2%

В соціальне страхування - 1.6%

В фонд безробіття - 1.4%

Соціальна страховка на випадок нещасних випадків на виробництві або проф. захворювання - 1%

Разом: 37,2%

Нарахована заробітна плата:

0.372*2000=744 грн.

Амортизація обладнання:

Осцилограф С1-68 - 1000 грн.

Строк обладнання 7 років. Виходячи з цього

А=1000/7*12=11,90 грн. /місяць

Ціна 1квт електроенергії для споживачів - 0,2436 грн. /кВт.

Нормативне споживання електроенергії осцилографом - 120 Вт/ч або 0,12 кВт/ч, паяльною установкою - 350 Вт/ч або 0,35 кВт/ч, блоком живлення моделі - 50Вт/ч або 0,05 кВт/ч.

Е=N*B*tэ, де

N - споживана об'єктом потужність від мережі (квт/година)

t - тариф на електроенергію.

B - регламентований час роботи об'єкта в плині місяця, г/міс.

В = кількість робочих днів у місяці 20 по 8 годин=5*8=160 (годин).

У такий спосіб витрати на використання електроенергії становлять:

Е= (0,12+0,35+0,05) *160*0,2436=20,26 (за місяць)

631,50+744+11,90+20,26= 1407,66

Таким чином, витрати на розробку технічної моделі лабораторного мобільного робота з ультразвуковим локатором становлять: 1407,66 грн.

Розрахунок економічного ефекту

Дана розробка призначена для певної мети, а саме: вивчення студентів спеціальності "Гнучкі комп'ютеризовані системи та робототехніка" денного та заочного форм навчання основам робототехніки. Дипломна робота є дослідницькою та враховуючи вище сказане слідує, що вірогідний прибуток від цього проекту відсутній, вартість проекту складає 1407,66 грн.

В порівнянні з іншими продуктами, які виконують подібні функції та мають вартість орієнтовно 1600 грн., розроблений мобільний робот з ультразвуковим локатором обійдеться значно дешевше, ніж аналоги.

Економія від використання однієї розробленої програми представлятиме:

ЕК = 1600 - 1407,66 = 193 грн.

5. Охорона праці

Охорона праці - це система законодавчих актів, соціально-економічних, організаційних, технічних, гігієнічних та лікувально-профілактичних заходів і засобів, що забезпечують безпеку, збереження здоров'я і працездатності людини в процесі праці.

Задачі охорони праці - забезпечення нормальних, здорових, безпечних умов праці, вивчення причин травматизму, професійних захворювань, пожарів та розробки систем заходів і вимог по їх усуненню.

Законодавство України про охорону праці базується на:

Конституції України, яка гарантує права громадян на працю, відпочинок, охорону здоров'я, медичну допомогу і страхування;

Законі України "Про охорону праці”, де вказано, що державна політика в області охорони праці базується на пріоритеті життя і здоров'я людей в умовах їх трудової діяльності. Відповідальність за створення нормальних і безпечних умов труда несе роботодавець незалежно від форми власності підприємства чи установи які здійснюють розробку виробництва та застосування ПЕОМ і ПК;

Нормах штучного та природного освітлення визначені СНиП ІІ-4-79/85;

Законі України "Про забезпечення санітарного та епідемічного благополуччя населення ” де вказані основні вимоги гігієни та санітарії;

Параметрах мікроклімату на робочих місцях регламентовані у ГОСТ 12.1.005-88 и ДСН 3.3.6.042-99;

Категорія робіт по величині загальних енергозатрат встановлена ДСН 3.3.6.042-99;

Законі України "Про загальнообов'язкове державне соціальне страхування від нещасного випадку на виробництві та професійного захворювання, які спричинили втрату працездатності ”, який гарантує право трудящих на соціальний захист і компенсацію постраждалим матеріальних втрат при травмуванні і професійного захворювання;

Кодексі законів про працю (КЗпП) де викладені окремі вимоги охорони праці;

Законі України "Про пожежну безпеку" і "Правила про пожежну безпеку в Україні”

Крім того є ряд Державних стандартів, правил, норм, інструкцій та інших нормативних документів, регламентуючих питання охорони праці.

5.1 Аналіз небезпечних і шкідливих факторів в учбовій лабораторії метрології, вимірювань та основ робототехніки

Одна з найважливіших задач охорони праці - забезпечення безпеки працюючих, тобто забезпечення такого стану умов праці, при якому виключено дію на працюючих небезпечних і шкідливих виробничих чинників.

Нанесення травми людині в умовах виробництва обумовлене наявністю шкідливих та небезпечних виробничих чинників:

підвищений рівень електромагнітних випромінювань;

підвищений рівень рентгенівських випромінювань;

підвищений рівень шуму;

несприятливі мікрокліматичні умови;

недостатнє або надмірне освітлення;

психофізіологічні шкідливі і небезпечні виробничі чинники.

Відповідно діючим нормативним документам (СН 512-78 и ДСанПіН 3.3.007-98) площа приміщення 9,0 м2; висота приміщення 2,7 м; об'єм - 24,3 м3 на одну людину. Стіна, стеля, підлога приміщення виготовляються з матеріалів, дозволених для оформлення приміщень санітарно-епідеміологічним наглядом. Підлога приміщення вкрита діелектричним килимком, випробуваним на електричну міцність. Висота робочої поверхні столу для персонального комп'ютера (ПК) - 690 мм, ширина повинна забезпечувати можливість виконання операцій в зоні досягнення моторного ходу; висота столу 725 мм, ширина 800 мм, глибина 900 мм. Простір для ніг: висота 600 мм, ширина 500 мм, глибина на рівні колін 500 мм, на рівні витягнутої ноги 650мм. Ширина й глибина сидіння 400 мм, висота поверхні сидіння 450 мм, кут нахилу поверхні від 15 вперед до 5 назад. Поверхня сидіння плоска, передній край закруглений. В доступних місцях знаходяться аптечки першої медичної допомоги.

Показники, якими характеризується мікроклімат є: температура повітря холодний період року 22-24О C, швидкість руху повітря 0,1 м/сек., в теплий період року 23-25О C, швидкість руху повітря 0,1-0,2 м/сек., відносна вологість повітря 60-40%. В приміщенні температура повітря 23О С, яка є не нижче встановленої ГОСТ 12.1.005-88 і СНіП 2.04.05-91. Температура повітря є одним з найважливіших факторів мікроклімату. При нищій або при підвищеній температурі працездатність людини погіршується, але при цьому погіршується робота обладнання лабораторії електроніки та мікросхемо техніки та ПЕОМ. На організм людини і обладнання лабораторії та ПЕОМ великий вплив виявляє відносна вологість. При відносній вологості повітря більш 75-80% знижується опір ізоляції, змінюються робочі характеристики елементів, зростає інтенсивність відмов елементів ПЕОМ. Швидкість руху повітря впливає на функціональну діяльність людини і роботу приладів ПЕОМ.

При експлуатації ПЕОМ можуть виникнути негативні явища в організмі людини. Розлади, що виникають в результаті постійного виконання дій, що повторюються, стосуються працівників, що використовують в своїй роботі клавіатуру. При цьому виникає синдром тунельного зап'ястя, який викликає розпухання сухожиль, і що супроводжується постійною біллю при виконанні будь-яких дій, навіть не зв'язаних безпосередньо з професійною діяльністю.

При роботі в лабораторії людина наражається на шумовий вплив з боку багатьох джерел, наприклад, шум викликаний роботою принтера (70 дБ) або дрелі (від 70 дБ). Згідно з СН 245-71 рівень шуму у приміщенні не повинен перевищувати 50 дБ. Під впливом шуму відбувається зниження слухової чутливості, що тим значні, ніж вище інтенсивність шуму і більше його експозиція. Діючи на слуховий аналізатор, шум змінює функціональний стан багатьох систем органів людини внаслідок взаємодії між ними через центральну нервову систему. Це виявляє вплив на органи зору людини, вестибулярний апарат і рухові функції, а також призводить до зниження мускульної дієздатності. При роботі в умовах шуму спостерігається підвищена втомлюваність і зниження дієздатності, погіршується увага і мовна комутація, створюються передумови до помилкових дій працюючих.

Джерелами випромінювання електромагнітних полів (ЕМП) в лабораторії електроніки та мікросхемотехніки є система випромінювання монітору та фотоелементів, а також елементи блоків живлення системного модуля, принтера, вимірювальних приборів. Систематичний вплив на працюючого ЕМП з рівнями, що перевищують допустимі, призводить до порушення стану його здоров'я. При цьому можуть виникати зміни в нервовій, серцево-судинній та інших системах організму людини. При впливі ЕМП значної інтенсивності на організм можуть виникати поразки кришталиків ока, нервово-психічні захворювання і трофічні явища (випадення волосся, ломкість нігтів). Ступінь шкідливого впливу ЕМП на організм людини визначається напругою електромагнітного поля, довжиною хвилі і тривалістю перебування організму в зоні діяльності ЕМП. Дія електромагнітних полів на організм людини виявляється у функціональному розладі центральної нервової системи. В результаті тривалого перебування в зоні дії електромагнітних полів наступають передчасна стомлюваність, сонливість або порушення сну, з'являються часті головні болі.

Електронно-променеві трубки, які працюють при напрузі понад 6 кВ є джерелами "м'якого" рентгенівського випромінювання. При напрузі понад 10 кВ рентгенівське випромінювання виходить за межі скляного балону і розсіюється в навколишньому просторі виробничого приміщення. Шкідливий вплив рентгенівських променів зв'язаний з тим, що, проходячи через біологічну тканину, вони викликають в тканині іонізацію молекул тканинної речовини, що може призвести до порушення міжмолекулярних зв'язків, що в свою чергу, призводить до порушення нормальної течії біохімічних процесів і обміну речовин.

Значення освітлення в процесі життєдіяльності і особливо виробничої діяльності сучасного суспільства величезне. Організація раціонального освітлення робочих місць - одне з основних питань охорони праці.

Природне освітлення здійснюється через світлові віконні отвори (бічне природне освітлення). Природне освітлення характеризується тим, що створювана освітленість змінюється в надзвичайно широких межах залежно від часу дня, року, метеорологічних чинників. Як нормована величина для природного освітлення прийнята відносна величина - коефіцієнт природної освітленості (КПО), який є вираженим у відсотках (1,8) відношенням освітленості в даній крапці усередині приміщення до одночасного значення зовнішньої горизонтальної освітленості, створюваної світлом повністю відкритого небозводу.

Штучне освітлення передбачається у всіх виробничих і побутових приміщеннях, де не досить природного світла, а також для освітлення приміщень в нічний час. Робоче освітлення забезпечує зорові умови нормальний роботи, проходу людей і руху транспорту. По розподілу світлового потоку в просторі розрізняють світильники прямого, розсіяного і відображеного світла, а по конструктивному виконанню - світильники відкриті, закриті, захищені, пилонепроникні, вологозахисні, вибухозахищені, вибухобезпечні. У даному випадку використовуються світильники діляться на світильники загального і місцевого освітлення. На робочому місці освітлення є комбінованим, норма освітлення 300 лк.

Дія освітлення виявляє істотний вплив на центральну нервову систему, яка керує всією життєдіяльністю людини. Раціональне освітлення сприяє підвищенню продуктивності і безпеки праці і збереженню здоров'я працюючих. Недостатнє освітлення робочих місць - одна з причин низької продуктивності праці. В цьому випадку очі працюючого сильно напружені, важко розрізняють предмети, у людини знижується темп і якість роботи, погіршується загальний стан. На органах зору негативно відбивається як недостатнє так і надмірне освітлення. Надмірна освітленість призводить до осліплення, що характеризується різзю в очах, при цьому очі працюючого швидко втомлюються і зорове сприймання різко погіршується.

Важливе значення для створення сприятливих умов праці має культура праці й виробнича естетика. Чистота на робочому місці, правильно підібрана колірне фарбування приміщень, інвентарю, устаткування, форма й покрій робочого одягу, спеціально підібрана музика - все це створює гарний настрій, підвищує життєвий тонус і працездатність. Естетичні умови на виробництві мають істотне значення не тільки для оздоровлення, полегшення праці, але й для підвищення його привабливості і продуктивності. У зв'язку із цим на промислових підприємствах велике значення надається промисловій естетиці.

Як самостійна галузь знань промислова естетика і теоретично і організаційно сформувалась порівняно недавно. Вона вивчає закони художньої творчості в сфері виробництва. Коло питань, розроблювальних промисловою естетикою, дуже широкий. Це раціональне колірне оформлення промислових приміщень і встаткування, розумна організація робочого місця, художнє конструювання верстатів, машин, інструментів, впровадження функціональної музики, художня розробка моделей робочого одягу, устаткування стендів наочної агітації, озеленення території цехів і підприємств.

Колір є одним з найбільш потужних засобів емоційного впливу на людину. Колір робочих приміщень, устаткування, механізмів викликає в людини певні емоції, впливає на стомлюваність, травматизм, брак у роботі, а отже, на продуктивність праці. Впливаючи на нервову систему, колір збуджує або заспокоює, створює ілюзію тепла або холоду, тяжкості або легкості, наближення або віддалення. Колірне фарбування виробничих приміщень доцільно робити з урахуванням технологічного призначення приміщень, умов роботи, температури, характеру висвітлення й вимог охорони праці. Колір устаткування повинен бути м'яким, спокійним, психологічно сприятливим. У яскраві контрастні кольори фарбують органи керування встаткування, рухливі частини.

У виробничому інтер'єрі колір також відіграє попереджуючу роль, використовується для зображення технологічних символів і всіляких сигналів. З економічної точки зору раціональне фарбування робочих приміщень і встаткування підвищує продуктивність праці на 5-20%, зменшує число нещасних випадків.

Принципам організації праці повинно відповідати і взаємне компонування робочих місць у рамках офісного приміщення, так і структура індивідуального робочого місця. Основні принципи ергономічної організації робочого місця - комфорт і мінімізація навантажень. Зрозуміло, принципам ергономіки повинна відповідати й використовувані меблі. Серед столів найбільш ергономічною визнана криволінійна кутова форма. За рахунок увігнутості більша частина їхньої площі виявляється використовуваної, оскільки попадає в зону охоплення руками людини, рівну 35-40 см. Самим оптимальним фахівцями вважається розташування меблів за принципом "усе під рукою", коли всі необхідні для щоденної роботи полиці, тумби, шафи перебувають на відстані витягнутої руки. Це дозволяє виключити непотрібні витрати енергії й зосередиться на виконанні прямих обов'язків.

5.2 Заходи щодо нормалізації шкідливих і небезпечних факторів

Згідно СНиП 2.04.05-91 система вентиляції, кондиціювання повітря й повітряного опалення передбачена для суспільних, адміністративно-побутових і виробничих категорій. Витяжні отвори розташовані у стелі. Для забезпечення безпеки життєдіяльності працівників у приміщенні варто підтримувати необхідну якість повітря, тобто оптимальні параметри мікроклімату, сталість газового складу й відсутність шкідливих домішок у повітрі. Для цього необхідно подавати в ці приміщення певну кількість чистого зовнішнього повітря. Для підтримки температури та інших параметрів мікроклімату використовується опалення, вентиляція, кондиціювання, що є найважливішою частиною спорудження.

Основним засобом захисту від електромагнітних випромінювань, що використовуються в обчислювальній техніці є екранування джерел випромінювання. Сьогодні всі монітори, що випускаються, а також блоки живлення мають корпус, виконаний зі спеціального матеріалу, що практично повністю затримує проходження електромагнітного випромінювання. Застосовуються також спеціальні екрани, що зменшують ступінь впливу електромагнітних і рентгенівських променів на оператора.

Для зниження електромагнітного впливу на людину-оператора використовуються також раціональні режими роботи, при яких норма роботи на ПЕОМ не повинна перевищувати 50 % робочого часу.

Гранично допустимі рівні напруги дотику і струмів при експлуатації і ремонті обладнання забезпечені:

ізоляцією струмоведучих мереж;

обґрунтуванням і оптимальним вибором елементної бази, що виключає передумови поразки електричним струмом;

правильного компонування, монтажу приладів і елементів;

дотриманням умов безпеки при налаштуванні і заміні приладів і інше.

Захист від небезпечних впливів електричного струму при експлуатації обчислювальних комплексів забезпечені:

застосування захисного заземлення або обнуління;

ізоляцією струмопровідних частин;

дотриманням умов безпеки при настанові і заміні агрегатів;

надійним контактним сполученням з урахуванням перепаду мікрокліматичних параметрів.

Ефективне рішення проблеми захисту від впливу шуму досягається проведенням комплексу заходів, в які входить ослаблення інтенсивності цього шкідливого виробничого чинника в джерелах і на шляху розповсюдження звукових хвиль. Зниження виробничого шуму в приміщеннях, де розміщена лабораторія електроніки та мікросхемотехніки, досягається за рахунок звукоізолюючої обробки приміщення - зменшення енергії відбитих хвиль, збільшення еквівалентної площі звукопоглинаючих поверхонь, наявність в приміщеннях штучних звукопоглиначів. З метою зниження шуму в самих джерелах встановлюються віброгасячі і шумогасячі прокладки або амортизатори. В якості засобів звукопоглинання застосовуються не горючі або тяжко горючі спеціальні перфоровані плити, панелі, мінеральна вата з максимальним коефіцієнтом поглинання.

Електронно-променеві трубки, магнетрони, тиратрони та інші електровакуумні прилади, що працюють при напрузі вище 6 кВ, є джерелами "м'якого" рентгенівського випромінювання. При технічній експлуатації апаратури, в якій напруга вище 15 кВ, використовують засоби захисту для відвертання рентгенівського опромінення операторів і інженерно-технічних робітників, бо при такій напрузі рентгенівське випромінювання розсіюється в навколишньому просторі виробничого приміщення.

Шкідливий вплив рентгенівських променів зв'язаний з тим, що порушення міжмолекулярних зв'язків тканинної речовини може призвести до порушення нормальної течії біохімічних процесів і обміну речовин. Засобами захисту від "м'якого" рентгенівського випромінювання є застосування поляризаційних екранів, а також використання в роботі моніторів, що мають біокерамічне покриття і низький рівень радіації. В якості засобів захисту від чинності м'яких рентгенівських променів застосовуються екрани з сталевого листа (0,5-1 мм) або алюмінію (3 мм), спеціальної гуми. Для відвертання розсіювання рентгенівського випромінювання по виробничому приміщенню встановлюють захисні огорожі з різноманітних захисних матеріалів, наприклад, свинцю або бетону.

Для забезпечення нормального освітлення та розрахунку штучного освітлення використовують в основному три методи. Найчастіше її розраховують по світловому потоку. Для цього визначається світловий потік кожної лампи по нормованій мінімальній горизонтальній освітленості Еmin = 400лк з виразу:

F= (Emin·S·K·z) / n1·n·N,

де F - світловий потік лампи в світильнику, лм; S - площа приміщення, м2; K - коефіцієнт запасу; z - коефіцієнт нерівномірного освітлення; n1 - коефіцієнт використання світлового потоку; n - кількість ламп в світильнику; N - число світильників.

Зал має розміри А=10м, В=5м, h=2.5м, стеля обладнується світильниками Л201Б з люмінесцентними лампами ЛБ80.

Рівень робітничої поверхні над полом 0,8 м, при цьому Нр=1.7 м.

Якщо освітлення здійснюється рядами люмінесцентних ламп, те вираження вирішується відносно N. Значення коефіцієнта n1 визначається по довіднику в залежності від типу світильника, коефіцієнтів відбивання стін Рс, стелі Рп, робітничій поверхні і від розмірів приміщення. Показник приміщення fi визначається з виразу:

fi= А·В/Нр· (А+В),

де А і В - довжина і ширина освітленого приміщення, м;

Нр - висота підвісу світильника над робітничою поверхнею, м.

У випадку застосування люмінесцентних ламп потрібна кількість світильників N, яка визначається за формулою:

N=Emin·S·K·z/F·n1·n

Поділивши число світильників N на число вибраних рядів світильників, визначають число світильників у кожному ряду.

Показник приміщення рівний:

fi=50/2,2 (10+5) =1,5151

По довіднику визначаємо значення коефіцієнта n1 (для значень Рс=0,5, Рп=0,3): n1=0,7. Значення коефіцієнта нерівномірного освітлення приймаємо рівним 1,1, а коефіцієнта запасу - 1,5. Знаючи значення світлового потоку кожної лампи, можемо визначити необхідну кількість світильників:

N=400·10·5·1,5·1,1/5220·0,7·2=4 (штуки)

Загальна потужність освітлювальної установки рівна:

Р=2·80·4=640 (Вт)

По результатах проведених розрахунків можна зробити висновок про те, що для освітленні приміщення потрібна 480 Вт загальної потужності освітлювальних установок.

5.3 Пожежна безпека

По пожежовибухонебезпечності приміщення з ПЕОМ відносяться до категорії В (СНиП 2.09.02-85), що характеризуються наявністю твердих горючих і важко горючих речовин і матеріалів, а також легкозаймистих матеріалів. Технологічні об'ємні підлоги виконуються з негорючих або тяжко горючих матеріалів з межею вогнестійкості не менше 0,5. Підпільні простори під об'ємними підлогами відділяють негорючими перегородками з межею вогнестійкості не менше 0,75 на ділянки площею не більш 250 м2.

Причинами пожежі можуть бути:

паління в приміщенні;

недбале використання нагрівальних або електроприладів приладів в приміщеннях з ПЕОМ;

В електронно-обчислювальній техніці пожежну небезпеку створюють прилади, що нагріваються, електро- і радіотехнічні елементи. Вони нагрівають навколишнє повітря і близько розташовані деталі і провідники. Все це може призвести до займання означених елементів, руйнування ізоляції і короткого замикання.

Для гасіння пожеж передбачена наявність первинних засобів пожежогасіння, (згідно "Правил пожежної безпеки в Україні") так і пожежні крани із брезентовими рукавами, пожежні щити (1 щит на 5000м2). В кімнаті знаходиться вогнегасник (ВВ-5). Вогнегасників розміщений, щоб був виключений безпосередній вплив на нього сонячних променів, опалювальних і нагрівальних пристроїв. За конструкцією, матеріалами, методами контролю, умовами змісту, обслуговуванням вогнегасник відповідає вимогам Правил пристрою і безпечної експлуатації судин, що працюють під тиском.

Для успішного гасіння пожежі велике значення має швидке виявлення пожежі та своєчасний виклик пожежних підрозділів до місця пожежі. В кімнаті з ПЕОМ розміщений сповіщувач (датчик) тепловий легкоплавкий. При збільшенні температури легкоплавкий сплав розплавляється і пружинячі пластинки, розмикаючись, вмикають ланцюг сигналізації. В приміщенні відсутній телефон для виклику пожежної охорони.

У приміщенні знаходитися розроблений і розміщений на видному місці план евакуації людей і матеріальних цінностей при пожежі, з яким ознайомлені працівники підприємства.

Висновки

У процесі виконання дипломної роботи було розроблено та виготовлено ультразвуковий локатор для лабораторного мобільного робота. Розроблена система дозволяє:

Дослідження роботи ультразвукового локатора;

Дослідження обробки даних отриманих мобільнім роботом від ультразвукового локатора;

Рух лабораторного мобільного робота огинаючи перешкоди;

Модернізувати лабораторний мобільний робот;

Щоб реалізувати поставлену задачу потрібно було:

Дослідити принцип роботи ультразвукового локатора який безпомилково вираховуватиме перешкоди на шляху лабораторного мобільного робота;

Дослідити принцип роботи мобільного робота;

З типових електронних виробів обрати платформу для лабораторного мобільного робота що задовольнятиме потребам;

З типових електронних виробів обрати ультразвуковий локатор для мобільного робота;

Обрати оптимальний мікропроцесор для керування мобільним роботом;

Вивчити будову всіх обраних блоків і з'єднати їх між собою;

Обрати оптимальну мову програмування.

Для вирішення цієї задачі найбільш підходить Сі. Ця мова програмування має великі можливості щодо створення прикладного програмного забезпечення та дозволяє створювати різноманітні програмні продукти. Дана програма була створена за допомогою саме цієї мови програмування, що дозволило зробити безпомилкове керування лабораторним мобільним роботом.

Список літератури

1. Андреев Ю.Н., Антонятин А.И., Иванов Д.М., Резисторы: Справочник. - Под ред. Чертверткова И.И. - М.: Энергоиздат. 1981. - 325с.: ил.

2. Анисимова И.Д., Викулин И.М., Заитов Ф.А., Курмашев Ш.Д., Полупроводниковые фотоприемники: Ультрафиолетовый, видимый и ближний инфракрасный диапазоны спектра. - Под ред. Стафеева В.И. - М.:

3. Радио и связь, 1984. - 216с.: ил.

4. Аронов В.Л., Баюков А.В., Зайцев А.А., Полупроводниковые приборы: Транзисторы. Справочник. - Под общ. ред. Горюнова Н.Н. - 2-е изд., перераб. - М.: Энергоатомиздат, 1985. - 904с.: ил.

5. Батюков А.В., Гитцевич А.Б., Зайцев А.А., Полупроводниковые приборы: Диоды, тиристоры, оптоэлектронные приборы. Справочник. - Под общ. ред. Горюнова Н.Н. - 2-е изд., перераб. - М.: Энергоатомиздат, 1984. - 744с.: ил.

6. Бирюков С.М., Низковольтный преобразователь напряжения. - Радио, 2002, №2, с.41, 42.

7. Бурдаков С.Ф., Мирошник И.В., Стельмаков Р.Э. Системы управлением движением колесных роботов. Спб: Наука, 2001.

8. Букреев С.С., Головацкий В.А., Гулякович Г.Н. Источники вторичного электропитания. - М.: Радио и связь, 1983.

9. Воробъев Е.А., Технических средств получения информации, 2002. - 54с.

10. Викторов И.А., Физические основы применения ультразвуковых волн Рэлея и Лэмба в технике, 1966. - 169с.

11. Голямина И.П., Ультразвук, Издательство "Советская энциклопедия" Москва 1979. - 400с.

12. Домаркас В.Й., Э.Л. Пилецкас, Ультразвуковая эхоскопия, 1988. - 275с.

13. Джагупов Р.Г., Ерофеев А.А., Пьезо-керамические злементы в приборостроении и автоматике, 1986. - 252с.

14. Кудряшов Б.П., Назаров Ю.В., Тарабрин Б.В., Ушибышев В.А., Аналоговые интегральные микросхемы: Справочник. - М.: Радио и связь, 1981. - 160с.: ил. - (Массовая радиобиблиотека. Вып.1033)

15. Клюева В.В., Агранат Б.А., Дубровин М.Н., Основы физики и техники ультразвука, 1987. - 352с.

16. Майер В.В., Простые опыты с ультразвуком, 1978. - 161с.

17. Накано Э., Введение в робототехнику /Пер. с япон. М.: Мир, 1988.

18. Пузанов Д.В., Микропроцессорные системы, 2002. - 935с.

19. Пахомов А.С. Преобразователь для питания радиоприемников. - Радио, 2000, №2, с. 19.

20. Тарабрин Б.В., Лунин Л.Ф., Смирнов Ю.Н., Интегральные микросхемы: Справочник. - М.: Радио и связь, 1984 - 528с.

21. Фолкенберри Л.Д., Применение операционных усилителей и линейных ИС: Пер. с англ. - М.: Мир, 1985. - 572с.: ил.

22. Хартов В.Я., Микроконтролеры AVR, 2007. - 242с.

23. Шутилов В.А., Основы физики ультразвука, 1980. - 280с.

24. Шило В.Л., Популярные цифровые микросхемы: Справочник. - М.: Радио и связь, 1987. - 325с.: ил. - (Массовая радиобиблиотека. Вып.1111)

25. http://avr. easycoding.org/projects/klassifikaciya/index. htm


Подобные документы

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.