Спряження вимірювальних приладів з цифровими пристроями

Введення аналогових сигналів в комп'ютер, перетворення вимірювальної інформації. Дискретизація сигналів, синхронізація за допомогою задаючого таймеру, визначення інтервалу дискретизації. Цифро-аналогові перетворювачі, основні параметри і характеристики.

Рубрика Программирование, компьютеры и кибернетика
Вид курсовая работа
Язык украинский
Дата добавления 19.06.2010
Размер файла 424,8 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

24

Курсова робота

Спряження вимірювальних приладів з цифровими пристроями

1. Спряження вимірювальних приладів

1.1 Введення аналогових сигналів в комп'ютер

Функціональні компоненти вхідного і вихідного інтерфейсів комп'ютера показані на рис.1. Сигнал, що виробляється датчиком, має бути відфільтрованим від всіх сторонніх частот до того як він буде оброблений комп'ютером. Зокрема, необхідно вилучити високочастотний шум, який зазвичай наводиться у кабелі при передачі сигналу. Відфільтровані вимірюванні сигнали збираються в мультиплексорі. Це пристрій, який має кілька входів і один вихід. Основне призначення мультиплексора - зменшити загальну вартість системи за рахунок застосування тільки одного пристрою обробки (в даному випадку - керуючого комп'ютера), який зазвичай істотно дорожчий мультиплексора для всіх вхідних сигналів. Перетворення аналогового сигналу в цифровий відбувається в аналогово-цифровому перетворювачі (АЦП). Схема вибірки і збереження запам'ятовує миттєві значення вхідного сигналу у завчасно встановлені моменти часу і утримує його постійним на виході на протязі інтервалу дискретизації. Перед наступною обробкою в комп'ютері, значення сигналу вимірювальної інформації необхідно додатково перевірити, щоб переконатися в тому, що воно прийнятне і має сенс в контексті фізичного процесу.

24

Рис. 1. Схема вводу/виводу в системі “процес - управляючий комп'ютер”

В багатьох випадках різні елементи системи повинні разом використовувати деякі обмежені ресурси, наприклад вхідний порт комп'ютеру або довгий сигнальний кабель по котрому передається інформація від декількох датчиків. Мультиплексування (multiplexing) дає можливість комп'ютеру у будь-який момент часу вибрати сигнал, який датчику необхідно зчитати. Іншими словами мультиплексор (multiplexer) можна розглядати як перемикач (комутатор), який з'єднує комп'ютер у кожен момент часу тільки з одним датчиком (рис.2). Мультиплексування застосовується не тільки в області вимірювання, але й відіграє, хоча і в іншому сенсі, важливу роль в техніці зв'язку.

24

Мультиплексор може бути або електромеханічним або електронним. Якщо вважати, що всі виходи мультиплексора пронумеровані, то перемикання зазвичай відбувається послідовно у відповідності з порядковим номером; однак застосовуються і інші алгоритми. Електромеханічний мультиплексор з язичковим реле - надійна, хоча до деякої міри, повільна система; він може виконувати до сотні комутацій в секунду. Експлуатаційний період мультиплексора цього типу обмежений природнім зношування рухомих частин, хоча, з іншого боку, такі системи мають гарні ізолюючі якості і низьку вартість. Інший не менш важливий фактор - дуже мале зниження напруги на контактах. Для порівняння: електронний напівпровідниковий мультиплексом значно швидший (комутація триває не більш ніж кілька мікросекунд).

1.2 Дискретизація сигналів

Комп'ютер не може безперервно зчитувати аналогові сигнали, а вибирає їх тільки у деякі моменти часу, тому комп'ютер сприймає сигнал у вигляді послідовності дискретних значень. Дискретизація (sampling) - вибірка, оцифровка, квантування - являє собою зчитування сигналу тільки у визначені моменти часу; операції мають бути строго синхронізовані за допомогою задаючого таймеру.

Сама по собі дискретизація відбувається дуже швидко. Однак під час АЦ-перетворювання не має бути яких-небудь змін у вхідному сигналі, які могли б вплинути на цифровий вихід. Це забезпечується операцією вибірки і зберігання (sample-and-hold) в кожному циклі дискретизації - значення аналогового сигналу зчитується на початку кожного інтервалу і залишається постійним на протязі всього часу перетворювання. Ця операція називається затримкою нульового порядку (рис.3).

24

Визначення інтервалу дискретизації

Дуже важливо вірно визначати інтервал дискретизації аналогового сигналу в загальному випадку являє собою нетривіальне завдання. Інтервал дискретизації h має бути досить коротким, щоб вихідний сигнал із достатньою точністю описував зміну аналогового сигналу. Теоретично частота дискретизації повинна у більш ніж у два рази перевищувати частоту найвищої складової перетворюючого сигналу (частотні моменти визначаються за допомогою Фур'є-аналізу вихідного сигналу). В той же час за надто малий інтервал, тобто висока частота вибірки, призводить до того, що керуючий комп'ютер виконує невиправдано багато обчислень. Крім того, чим більша швидкодія - тим дорожчий пристрій. Виявляється, що при частоті вибірки fN меншої подвоєної частоти вихідного сигналу f, останній неможливо відновити на основі дискретних значень. Гранична частота називається частотою Найквіста (Nyquist frequency) fN=2f

Якщо аналоговий сигнал має будь-які частоти, які перевищують fN/2, то ці високочастотні компоненти з'являються у послідовності даних вибірки як гармоніки більш низької (псевдо) частоти. Для уникнення появи псевдо-частот, необхідно, щоб частота вибірки, у всякому випадку в двоє перевищувала б самий високочастотний компонент сигналу. В цьому суть теореми дискретизації (sampling theorem) / теореми Котельникова /

Впорядковану послідовність кодів станів системи, призначену для передачі інформації, називають повідомленням. Інформаційне повідомлення передається від передавача до приймача за допомогою каналу.

Для передачі інформації від джерела до приймача повідомлення перетворюють у сигнали. За означенням сигнал - зміна фізичної величини, що використовується для пересилання даних. Сигнал утворюється на основі деякої фізичної величини (електромагнітні або акустичні коливання, електрична напруга та ін.), яку називають енергетичним носієм. Зміна параметрів такої фізичної величини у часі (амплітуди, частоти, фази, тривалості) за законом передачі називається модуляцією. Сигнали поділяють на неперервні та дискретні, низько - та високочастотні тощо.

Існує наступна класифікація сигналів, які описуються часової функцією y(t):

1. Неперервна функція неперервного аргументу в інтервалі часу 0 ? t ? tk (рис 4а).

2. Дискретна функція неперервного аргументу (рис. 4б). Значення, набуті функцією y(t), утворюють дискретний ряд чисел yn i = 1, 2, …, k. Значення аргументу може бути будь-яким у заданому інтервалі часу 0 ? t ? tk. Перетворення неперервної функції y(t), в дискретну множину значень у називається квантуванням за рівнем.

3. Неперервна функція дискретного аргументу (рис. 4в). Значення функції y(t) визначаються тільки на дискретній множені tі i = 1, 2, …, k. Функція y(tі) може набувати будь-яких значень у заданому діапазоні. Перетворення функції y(t) неперервного аргументу t в функцію y(tі) дискретного аргументу t, називається дискретизацією (квантуванням) у часі.

4. Дискретна функція дискретного аргументу (рис. 4 г). Значення, що приймаються функцією й аргументом, утворюють дискретні ряди чисел y0, y1, …, yk i t0, t1, …, tk.

24

Перший з розглянутих різновидів описує неперервні (аналогові) сигнали, другий і третій - дискретно-неперервні, а четвертий - чисто дискретні. Сумісне застосування дискретизації й квантування дозволяє перетворювати неперервну функцію в чисто дискретну.

Фільтрація використовується для зменшення амплітуди визначених частотних складових сигналу. Фільтрація може бути як аналоговою - за допомогою електронних ланцюгів, так і цифрової, яка змінює дискретні значення сигналу, після АЦ-перетворення. Для ефективної фільтрації необхідно, щоб частотні діапазони сигналів, що розділяються, не перетиналися. Найбільш часто фільтрації застосовуються для усунення шуму і завад і для підсилення сигналу, настільки, наскільки це можливе, для початкового рівня.

Двома основними параметрами аналогової фільтрації являється ширина смуги пропускання і гранична частота. Смуга пропускання (bandwitch, passband) - це діапазон частот, що проходять фільтр без змін. Гранична частота або частота зрізу (cutoff frequency), - це частота, на якій амплітуда сигналу послаблюється в разів, що відповідає зменшенню потужності у два рази у порівнянні з пропускними частотами.

Фільтр низької частоти (ФНЧ, low pass filter) пропускає частоти нище граничної частоти і послаблює компоненти з частотами вищі цього значення. Цей фільтр використовуються для усунення або зменшення тих частотних складових, які можуть сприяти появі псевдочастот, і тому він також називається проти-псевдо-частотним фільтром (anti-alias filter). Фільтр високої частоти (ФВЧ, high pass filter) пропускає високі частоти і послаблює низькі. Смугові фільтри (band pass filter) пропускає частотні компоненти, які знаходяться між двома граничними відсікаючими частотами.

Цифрові пристрої (АЦП і ЦАП), що забезпечують зв'язок комп'ютера з ВП, потребують певного рівня сигналу по напрузі та сприймають обмежений частотний діапазон. Тому перед перетворенням сигналу з ВП у цифровий код проводиться його корекція по напрузі (амплітуді) і частоті.

Корекція по напрузі

Діапазон зміни напруги багатьох ВП (0.. 1 мВ), а діапазон вхідної напруги для АЦП (0 - 0,5В). У таких випадках використовують підсилювачі (ОП)

Коефіцієнт підсилення по напрузі

.

24

Рис.5. Фільтр і підсилювач

У випадку надто великої вхідної напруги використовують дільники (резистині) або атенюатори.

Корекція по частоті

Частоти корисного сигналу звичайно лежать в діапазоні від щ0 до щ1. Крім корисного сигналу завжди присутній шум з широким діапазоном частот. Для підвищення відношення сигнал/шум використовують частотні фільтри (низьких і високих частот).

Довідка: ємнісний опір конденсатора , індуктивний опір , де .

2. Цифро-аналогові перетворювачі

2.1 Загальна характеристика цифро-аналогових перетворювачів

Важливим етапом в багатьох процесів керування являється цифрово-аналогове перетворення - генерація аналогового сигналу з рівнем напруги, відповідним цифровому значенню на вході. Ця процедура використовується для передачі від комп'ютера керуючого сигналу виконавчому механізму чи опорного значення для регулятора. ЦА-перетворення - також необхідний крок для виконання зворотного аналого-цифрового (АЦ) перетворення.

Ідеальний цифро-аналоговий перетворювач (ЦАП, Digital-analog Converter, D/A converter - DAC) виробляє вихідний аналоговий сигнал, що лінійно залежить від n-бітного цифрового вхідного сигналу.

ЦАП призначені для перетворення цифрової інформації в аналогову форму у вигляді напруги (іноді струму). Використання - для керування виконавчими пристроями (принтери, монітори, крокові двигуни..).

Цифро-аналогове перетворення полягає в перетворенні вхідного n-розрядного коду N у пропорційні йому рівні напруг U(N),

U(N) = k N = k (Dn-12n-1 +.. Dі2і.. +.. D121 + D020),

де k - коефіцієнт пропорційності,

Dn-1, Dі, D1, D0 - розряди (біти: 0/1) n-розрядного коду N, 2і - вага і-го розряду.

Використовують ЦАП із сумуванням струмів і напруг, але частіше- з сумуванням струмів.

2.2 Схеми ЦАП із сумуванням струмів

Структура ЦАП містить резистину або транзисторну матрицю для формування еталонних струмів; ключі для комутації струмів; операційний підсилювач (ОП) для перетворення суми вихідних струмів у вихідну напругу; допоміжні схеми калібрування та живлення.

Резистині матриці містять набір двійково-зважених резисторів (R2n,... R21, R20,) або ланцюга резисторів номіналів (R, 2R).

Розглянемо схему з двійково-зваженими резисторами. У такій схемі опір резистора зменшується у 2 рази при переході від молодшого розряду до старшого, відповідно еталонні струми збільшуються у 2 рази.

24

Рис.6. ЦАП з двійково-зваженими резисторами

Якщо R0=10 кОм, R1= 5 кОм, І0 = 10 мА, то І1 = 20 мА. (струми - на ОП або на землю)

Вхідний опір ОП дуже великий, тому струми сумуються незалежно:

IS = I0 D0 + I1 D1 +... In-1 Dn-1 = I0 20 D0 + I0 21 D1 +.. In-1 2n-1 Dn-1. (струм - як вода)

Ключі пропучкають або не пропучкають струм і керуються станом бітів Di (0/1), 0 - не пропускають. Тоді вихідна напруга

U(N) = RОЗ IS = RОЗ = RОЗ I0 = RОЗ I0 N.

Тобто вихідна напруга пропорційна до вхідного двійкового коду.

Наприклад: I0 = 0,05 мА, I1 = 0,1 мА, I2 = 0,2 мА, RОЗ=4 кОм,

D2 D1 D0

N

IS, мА

U(N), В

0 0 0

0

0

0

0 0 1

1

0,05

0,2

0 1 0

2

0,1

0,4

0 1 1

3

0,15

0,6

1 0 0

4

0,20

0,8

1 0 1

5

0,25

1,0

1 1 0

6

0,30

1,2

1 1 1

7

0,35

1,4

24

Основні параметри ЦАП

Тип мікросхеми

Розрядність, n

Макс. відхилення , %

Час встановлення tвст, мкм

К427 ПА4

16

0,0015

20

К572 ПА2

12

0,025

15

К1108 ПА3

8

0,5

0,05

Діапазон зміни вихідної напруги (0 - Umax; 0 - 5В) (іноді - від'ємні напруги, -2,5.. 2,5В)

Umax UОП (1 - 2n).

Роздільна здатність h характеризується мінімальним квантом вихідної напруги, який відповідає зміні вхідного коду на 1:

h = UОП / (2n+1 -1). (таблиця)

Час встановлення (швидкодія) - це інтервал часу від подачі вхідного коду до моменту встановлення вихідної напруги.

ЦАП поділяються на прецизійні (< 0,1 %) і швидкодіючі (tвст < 100 нс).

Число розрядів n вхідного коду для різних типів ЦАП дорівнює від восьми до вісімнадцяти. Число розрядів визначає максимальну кількість кодових комбінацій на вході ЦАП, що дорівнює 2n.

Абсолютна похибка перетворення ?А - відхилення вихідної напруги від розрахунку в кінцевій точці характеристики перетворення. Типова похибка ЦАП не перевищує ±1/2 молодшого розряду.

Нелінійність ?Л, -- максимальне відхилення реальної характеристики перетворення від теоретичної (прямої лінії, що з'єднує точку нуля і мінімального вихідного сигналу).

Аналогово-цифрове перетворення

Для комп'ютерної обробки дискретні аналогові значення вимірювального сигналу, необхідно представити в цифровій формі, тобто виконати аналого-цифрове (AC, Analog-Digital - A/D) перетворення. Відповідний пристрій являється аналого-цифровим перетворювачем (АЦП, Analog-Digital Converter, ADC Converter - ADC). АЦП генерує двійкове слово - цифровий вихід - на основі аналогового сигналу. Існують АЦП, виконання, наприклад, в вигляді плати розширення комп'ютера. АЦП може працювати у відповідності з різними принципами: паралельне порівняння і покрокове наближення (апроксимація).

В АЦП, що працює по принципу порівняння (comparation), вхідне значення порівнюється з різними рівнями напруги, яка виробляється на основі вимірювальної опорної напруги і каскаду опорів. На виході кожної схеми порівняння комп'ютера - з'являється 0 або 1 в залежності від відповідності вхідної і опорної напруги. Такі АЦП володіють хорошою швидкодією, але достатньо дорогі.

АЦП, що працює по принципу покрокового наближення (incremental approximation), побудований на основі ЦАП (рис. 8). Діапазон вхідного сигналу розділений на 2n - 1 інтервалів, де n - число бітів в вихідному слові. Лічильник швидко генерую послідовні числа, які одразу перетворюються в аналоговий сигнал.

2.3 Аналого-цифрові перетворювачі послідовної лічби

Метод послідовної лічби із застосуванням АЦП заснований на урівноваженні вхідної напруги сумою еталонів, які підраховуються лічильником. Момент урівноваження визначається аналоговим компаратором.

Схема АЦП послідовної лічби показана на рис. 8. а. У ній за сигналом "Пуск" RS-тригер переключається в стан "1" і дозволяє проходження імпульсів від генератора G через елемент І на вхід підсумовування двійкового лічильника СТ2.

24

Наростаючий цифровий код з виходу лічильників С72 перетворюється за допомогою ЦАП в напругу, яка подається на вхід компаратора КОМП. На другий вхід КОМП поступає вимірювана напруга Uвх. У момент рівності напруг UВХ=UЦАП компаратор виробляє сигнал скидання тригера. Після цього рахунок імпульсів припиняється і на виході лічильника СТ2 фіксується цифровий еквівалент вхідної напруги. Час перетворення tпр залежить від значення напруги UВХ (рис. 8, б).

У схемі АЦП з порозрядним кодуванням {урівноваженням) вхідна напруга UВХ порівнюється стрибкоподібно з вихідною напругою UЦАП за певною програмою (рис.9).

24

Сигнал "Пуск" включає генератор періодичних імпульсів G і одночасно записує одиницю в старший розряд зсувового регістра порозрядного наближення РгПН, а інші розряди обнуляє. У першому такті за допомогою компаратора КОМП порівнюються вхідна напруга UВХ і напруга з виходу ЦАП, яка відповідає значенню старшого розряду РгПН. Алгоритм порівняння такий: якщо UЦАП > UВХ, то в наступному такті ця одиниця вилучається, а замість неї в РгПН додається наступна із сусіднього розряду. Якщо ж UЦАП < UВХ, то одиниця в старшому розряді зберігається і до неї додасться одиниця із сусіднього розряду і т. д.

Паралельні АЦП

В паралельних АЦП (СА3306) сигнал порівнюється з опорними значеннями

Рис.10. Паралельний АЦП

3. Основні параметри і характеристики аналого-цифрових перетворювачів

У АЦП використовують методи порозрядного кодування, послідовної лічби з двійковим інтегруванням і паралельного перетворення. Мікросхеми АЦП виконують за гібридною і напівпровідниковою технологією. У останні роки випускають, в основному, напівпровідникові АЦП. Основні параметри і характеристики деяких напівпровідникових АЦП наведені в табл. 2.

Основними параметрами і характеристиками АЦП є:

· число розрядів п вихідного коду;

· роздільна здатність h - мінімальний квант вхідної напруги, за якої вихідний код змінюються на одиницю молодшого розряду;

· нелінійність ?Л - максимальне відхилення вихідного коду від розрахункового значення у всьому діапазоні шкали;

· абсолютна похибка ?А - найбільше відхилення вихідного коду від розрахункового в кінцевій точці шкали;

· час перетворення tпр - інтервал від моменту початку перетворення до появи на виході сталого коду; часто замість tпр швидкодія АЦП характеризується частотою перетворення:

· діапазон і полярність вхідної напруги, число джерел живлення, струм споживання, можливість спільної роботи з мікропроцесорами.

У АЦП застосовуються такі методи перетворення:

· послідовної лічби (з використанням ЦАП або двотактним інтегруванням);

· порозрядного кодування (послідовного двійкового наближення);

· паралельної дії (зчитування);

· паралельно-послідовні (комбіновані).

Таблиця 2

Тип мікросхеми

Розрядність, n

Максимальне відхилення, ?ЛД %

Час перетворення ТП, мкс

Технологія

Примітка

КР572ПВ3

К1107ПВ1

М1107ПВ6

К1108ПВ2

8

6

10

12

±0,75

±0,5

±1,5

±1

7,5

0,1

0,06

0,9

КМОН

Біполярна

Біполярна

Біполярна

МК, СМ

-

ФЗ, СМ

ФЗ

Примітка. У табл. 5.2: СМ - сумісність з мікропроцесорами; ФЗ - функціональна закінченість; МК - багатоканальність.

Деякі АЦП є функціонально закінченими, але більшість вимагають додаткових зовнішніх елементів: операційних підсилювачів, джерело опорної напруги, генераторів тактових імпульсів, резисторів і конденсаторів.

Мікросхеми АЦП звичайно мають діапазон зміни вхідної напруги 0-10 В, а деякі АЦП допускають використання двополярного вхідного сигналу. Розрядність АЦП становить 6-12, причому ряд АЦП допускають нарощуванням розрядності.

Швидкодія АЦП визначається, в основному, методом перетворення і елементною базою (ТТЛШ, ЕЗЛ, КМОН). Найбільшу швидкість мають АЦП паралельної дії на базі ЕЗЛ - елементів (tпр ? 20 нс). Перетворювачі за рівнями вихідних сигналів узгоджуються ТТЛШ-, ЕЗЛ- і КМОН-мікросхемами. Більшість сучасних АЦП сумісні з мікропроцесорними пристроями. Вихідні ланцюги в таких АЦП мають три стійких стани (лог. 0, лог. 1 і 2).

При порівнянні реальних і віртуальних приладів, крім можливостей, що ними надаються, і режимів роботи, треба також брати до уваги і їх основні характеристики - точність і швидкодія.

Точність віртуального приладу визначається не тільки кількістю цифр після коми, які виводиться на екран управляючою програмою. До речі, ці цифри можуть бути помилковими, якщо не вжиті деякі заходи метрологічного характеру. Одним з основних критеріїв є розрядність аналого-цифрового перетворювача. Цей параметр визначає ступінь дозволу при вимірюваннях, тобто ту якнайменшу різницю між двома сусідніми значеннями, яку «відчуває» вимірювальний прилад. Наприклад, восьмирозрядний АЦП здатний формувати 28, або 256 різних значень вихідного сигналу (коду). Якщо його повна шкала складає 5 В, він зможе розрізнити два рівні вхідної напруги, відмінні приблизно на 20 мВ; це відповідає чутливості хорошого стрілочного гальванометра класу 0,4 або більшості осцилографів. Простий розрахунок показує, що вхідна напруга 4 В може бути виміряна з точністю близько 0.5%, а напруга 100 мВ - лише з точністю близько 20%.

Тут виявляється відоме емпіричне правило «останній третині шкали», яке, ймовірно, відоме всім користувачам аналогових мультиметрів і залишається таким же актуальним в цифрову епоху.

12-розрядний АЦП З можливістю формування на виході 212 - 4096 різних значень зможе зміряти напругу 4 В з точністю близько 0,03%, а 100 мВ - з точністю близько 1,2%. Зрозуміло, ці розрахунки вірні за умови, що всі електронні компоненти в АЦП мають допуски, відповідні вказаним величинам. Не варто, наприклад, розраховувати на середню точність 1% (що було б непогано) у вимірювальних ланцюгах, зібраних на звичних резисторах з допуском 5%.

4. Нове покоління АЦП

Головна особливість таких АЦП полягає в організації управління по одно- або двох-дротяній послідовній шині (SPI, Microwire, I2С і т.п.), а не через паралельний інтерфейс, що вимагає наявності одного виводу мікросхеми на кожен розряд шини управління. Звичайно, такий спосіб передачі бітів даних один за іншим по одному дроту обмежує швидкість обміну інформацією, хоча і тут можна досягти швидкості передачі даних порядку 1 Мбіт/с. На практиці, з урахуванням властивостей і можливостей схем дискретизації і квантування, не варто розраховувати на подолання бар'єру в декілька десятків тисяч вимірювань в секунду, що в середньому відповідає частоті дискретизації 20 кГц. Таким чином, цих електронних компонентів не можна порівняти з надшвидкісними АЦП типа «flash» або «VIDEO», але вони проте відносяться до класу швидкодіючих АЦП. Тому при розрядності від 3 до 12 біт вони чудово підходять для вирішення більшості задач у області створення віртуальних вимірювальних приладів.

На рис.11 приведена структурна схема стандартних послідовних АЦП. виконаних в корпусах з вісьма виводами. Логічний контролер з вбудованим тактовим генератором управляє роботою схеми перетворення функціонуючої за принципом послідовного наближення. Цей принцип полягає в поступовому покроковому накопиченні в проміжному регістрі даних двійкового кодового слова, відповідного співвідношенню вхідної аналогової напруги (різниці між рівнями напруги на диференціальних входах АNALOG +IN і ANALOG-IN) і опорної напруги (різниці між рівнями напруги на диференціальних входах REF+ і REF-).

Цикл перетворення починається з фіксації рівня вхідної аналогової напруги в пристрої вибірки-зберігання, а потім перетворювач починає формувати вміст регістра. Процес накопичення кодового слава займає якийсь час, зване часом перетворення.

На практиці як мінімум один ANALOG -IN або REF технологічно сполучений із загальним проводом (GND).

Рис. 11. Структурна схема послідовного АЦП

Після закінчення процесу перетворення селектор даних, розташований перед вихідним каскадом, починає послідовно вибирати біти інформації, що містяться в регістрі даних, і потім також послідовно подає їх на вихід DАТА OUT. Частота вибірки і формування вихідних імпульсів визначається зовнішнім тактовим сигналом I/O CLOCK.

Для правильного функціонування АЦП необхідна наявність деякої зовнішньої управляючої системи, яка повинна формувати послідовності, синхронізуючих сигналів.

В більшості випадків аналого-цифрове перетворення починається в момент подачі відповідного сигналу на вивід CS (вибір кристала). Саме по собі перетворення виконується за декілька десятків мікросекунд. Після цього необхідно подати потрібну кількість тактових імпульсів на вивід I/O СLOСК, щоб видати результат перетворення через вивід DАТА OUТ.

АЦП послідовного наближення

8 - розрядний послідовний АЦП, який використовуватиметься в прикладах, був вибраний, з одного боку, зважаючи на його широке розповсюдження і цілком прийнятну ціну, а з другого боку, з огляду на те, що існують 10- і 12- розрядні моделі, повністю сумісні з ним по розташуванню виводів. При нагоді це може спростити проблему модернізації друкованої плати.

Рис. 12. Розміщення виводів аналого-цифрового перетворювача TLC 549

Такий АЦП має тільки один аналоговий вхід (ANALOG IN) два входи для підключення опорної напруги (REF+ і REF-). Другим аналоговим входом можна рахувати вивід GND - загальний. Якщо при цьому вивід REF-теж підключений до загального дроту, то на виході АЦП формуватиметься байт вихідного коду, рівний 00000000 при нульовій напрузі на аналоговому вході, і 11111111 - при вхідній напрузі, рівній опорному, яке подасться на вихід REF+.

Прямий конкурент АЦП TLC 1549 - аналого-цифровий перетворювач МАХIM - 1243 виробництва компанії МАХІМ - має абсолютно інше розташування виводів. Крім своїх відмінних характеристик, він цікавий тим, що належить до сімейства повністю взаємозамінних АЦП, яке включає 12-розрядну версію МАХ 1241, а також тим, що за допомогою простої програми його можна легко перемкнути в 8-розрядний режим.

Серед моделей, пропонованих у вигляді зовнішніх інтерфейсів, мініатюрні аналого-цифрові перетворювачі ADC 10 і ADC 12 компанії PICO Technology користуються великою популярністю. Причиною тому служить виняткова простота їх застосування і доступна ціна. ADC 10 і ADC 12 представляють чудову можливість додавання одного або декількох аналогових входів до будь-якого ПК.

Концепція, розроблена британською компанією PICO Technology, досить оригінальна: АЦП ADC 10 і ADC 12 виконані у вигляді простого роз'єму BD25 (рис. 12). Підключення аналогового сигналу здійснюється за допомогою кабелю через роз'єм типа ВNС (СР50-73). Достатньо вставити один з цих приладів в роз'єм паралельного порту (LРТ1 або LРТ2), щоб перетворити останній в аналоговий вхід з діапазоном напруг від 0 до 5 В. Треба лише запустити програму PICOSСОРЕ, що поставляється разом з АЦП, щоб одразу ж одержати цифровий вольтметр, осцилограф і аналізатор спектру.

Література

1. Виглеб Г. Датчики. Устройство и применение. - М.: Мир, 1989. - 196 с.

2. Арутюнов О.С. Датчики состава и свойств вещества. - М.-Л.: Энергия, 1966. - 160 с.


Подобные документы

  • Сканер - це пристрій введення текстової або графічної інформації в комп'ютер шляхом перетворення її в цифровий вигляд для наступного використання, обробки, збереження або виведення. Будова та принцип його дії. Історія створення та розвитку сканерів.

    реферат [774,0 K], добавлен 14.04.2010

  • Структура та галузі застосування систем цифрової обробки сигналів. Дискретне перетворення Фур’є. Швидкі алгоритми ортогональних тригонометричних перетворень. Особливості структурної організації пам’яті комп’ютерних систем цифрової обробки сигналів.

    лекция [924,7 K], добавлен 20.03.2011

  • Поняття комп'ютеру як універсальної технічної системи, спроможної виконувати визначену послідовність операцій певної програми. Програмні засоби, за допомогою яких визначають основні характеристики ПК. Утіліта EVEREST Home Edition 1.51, її призначення.

    контрольная работа [403,0 K], добавлен 01.12.2009

  • Сучасні системи ЦОС будуються на основі процесорів цифрових сигналів (ПЦС). Сигнальними мікропроцесорами (СМП) або процесорами цифрових сигналів є спеціалізовані процесори, призначені для виконання алгоритмів цифрової обробки сигналів у реальному часі.

    лекция [80,1 K], добавлен 13.04.2008

  • Порядок обміну даними через порт принтера (інтерфейсу Centronics). Розряди паралельного порту. Особливості протоколів та типів паралельного порту. Фізичні характеристики передавачів і приймачів сигналів. Проектування апаратури для спряження з Centronics.

    реферат [204,3 K], добавлен 19.06.2010

  • Актуальність сучасної системи оптичного розпізнавання символів. Призначення даних систем для автоматичного введення друкованих документів в комп'ютер. Послідовність стадій процесу введення документу в комп'ютер. Нові можливості програми FineReader 5.0.

    курсовая работа [4,5 M], добавлен 29.09.2010

  • Вибір оптимальної конфігурації та характеристика сучасних персональних комп’ютерів і їх комплектуючих. Технічна характеристика кожного пристрою комп’ютера. Зовнішні запам'ятовуючі і пристрої введення інформації. Переваги пристроїв різних фірм.

    дипломная работа [65,5 K], добавлен 06.07.2011

  • Шина ISA (Industrial Standart Arhitecture) як стандартна для персональних комп'ютерів типа IBM PC/AT. Адресний простір при зверненні до пам'яті. Конструктивне виконання плат, що вставляються в роз’єм ISA. Командні сигнали, центральні сигнали управління.

    реферат [108,8 K], добавлен 19.06.2010

  • Способи виявлення й видалення невідомого вірусу. Спроби протидії комп’ютерним вірусам. Способи захисту комп’ютера від зараження вірусами та зберігання інформації на дисках. Класифікація комп'ютерних вірусів та основні типи антивірусних програм.

    реферат [17,1 K], добавлен 16.06.2010

  • Історія виникнення квантових комп’ютерів. Структура квантових комп’ютерів та принципи роботи. Квантовий комп’ютер на ядерних спінах у кремнію. Квантовий комп’ютер на електронному спіновому резонансі в структурах Ge–Si. Надпровідниковий суперкомп’ютер.

    курсовая работа [579,4 K], добавлен 15.12.2008

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.