Проектирование компьютерного технического устройства

Методические указания для разработки комплексного курсового проекта по специальности "Специализированные компьютерные системы". Проектирование технического устройства. Выбор семейства кристалла, схемотехнического решения. Математическое моделирование.

Рубрика Программирование, компьютеры и кибернетика
Вид методичка
Язык русский
Дата добавления 25.04.2012
Размер файла 583,6 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ УКРАИНЫ

ХАРЬКОВСКИЙ НАЦИОНАЛЬНЫЙ УНИВЕРСИТЕТ РАДИОЭЛЕКТРОНИКИ

Методические указания

к комплексному курсовому проекту

Для студентов дневной формы обучения специальности 7.091503 «Специализированные компьютерные системы»

Харьков 2009

ВВЕДЕНИЕ

Человеческая деятельность требует постоянного совершенствования и развития средств вычислительной техники, повышения их эффективности, улучшения технико-экономических характеристик и введения новых свойств и качеств, отражающих возрастающие потребности теории и практики

Аналогично древнему философскому спору: «что первичнее (главнее, старше), курица или яйцо» позволителен вопрос «что первичнее, вычислительная структура ЭВМ или алгоритм решения прикладной задачи». Универсальные ЭВМ общего назначения первоначально имеет избыточную архитектуру, которая в состоянии с той или иной (почти одинаковой) эффективностью реализовать и не оптимизированные, и оптимизированные программы для решения широкого круга задач. Принцип построения специализированных ЭВМ (далее СЭВМ) иной: их архитектура приспосабливается под один заданный алгоритм или класс алгоритмов, которые реализуются в течении длительного времени. При этом добиваются оптимально минимальной архитектуры для уменьшения стоимости, веса, увеличения быстродействия и др. будущих ЭВМ.

В настоящее время продукция, поставляемая на рынок электронных технологий, используется во всех областях человеческой деятельности. Компании (Intel, Motorola, Advanced Micro Devices, IBM, Sun Microsystems и Hewlett-Packard) затрачивают миллионы долларов на разработку мощных процессоров, оперирующих уже 64- и 128-битовыми данными.. У микропроцессоров, как и у других типов микросхем, наблюдается экспоненциальный рост возможностей: согласно закону Мура каждые 18 месяцев число транзисторов в современных микросхемах удваивается без увеличения стоимости чипа. Микропроцессоры с такой же периодичностью увеличивают свою производительность на 100%. Это превратило разработку универсальных компьютеров в мощную лидирующую индустрию на рынке электронных технологий. Однако ситуация в настоящее время изменяется в связи с ростом производительности средних и малых микропроцессоров. Очевидна рыночная тенденция - в течение ближайших 15 лет возможности, предлагаемые техническим прогрессом и рынком, превысят потребности пользователя. Поэтому корпорации, нацеленные только на выпуск мощных универсальных процессоров, рискуют потерять свою долю прибыли на рынке микроэлектроники. Чтобы этого не случилось, они уже сейчас реорганизуют часть своего производства на быстрый выпуск специализированных заказных микросхем.

Также развивается модульность устройств в которых сохраняется стандартизация интерфейсов между подсистемами. Такой подход уже реализован в нижних уровнях проектирования микропроцессора в виде системы-на-кристалле (System-on-chip или SoC) и практики многократного использования модулей (IP-ядер) для встраивания. Последние имеют различные размеры и функции, от простых, реализующих интерфейсы до сложных 64-битовых микропроцессоров, имеющих несколько миллионов транзисторов.

Прогресс в микроэлектронике диктует компаниям:

1) придерживаться стратегии модульности проектов, состоящих из многократно используемых и реконфигурируемых IP блоков;

2) предоставлять возможность реализации системы на одном кристалле, создавая заказные системы SoC;

3) сокращать время проектирования и внедрения в производство микропроцессоров и систем SoC;

4) предоставлять широкие возможности для тестопригодного проектирования и верификации цифровых систем, существенно уменьшающие время создания готового изделия.

1 ЦЕЛИ И ЗАДАЧИ КУРСОВОГО ПРОЕКТИРОВАНИЯ. ТЕМАТИКА КУРСОВЫХ ПРОЕКТОВ. ОБЩИЕ ВОПРОСЫ ОРГАНИЗАЦИИ КОМПЛЕКСНОГО КУРСОВОГО ПРОЕКТИРОВАНИЯ

Цели

Целью комплексного курсового проектирования является расширение и закрепление знаний, полученных в процессе изучения курсов “Специализированная архитектура ЭВМ“, “ПСМС“, других специальных дисциплин в соответствии с учебным планом специальности СКС, а также приобретение практических навыков по составлению специализированных прикладных алгоритмов и программ на внутреннем языке используемых технических модулей, а также.

Комплексность курсового проекта заключается в том, что на каждом этапе проектирования устройства (или системы) студент использует знания и умения по соответствующим, но разным дисциплинам (курсам), изученным и еще изучаемым в процессе выполнения учебного плана.

Выполнение курсового проекта прививает навыки самостоятельной разработки необходимых структурных схем ядра и устройств сопряжения с объектом (УСО), умение пользоваться технической литературой и руководящими техническими материалами (РТМ) на БИС и другие технические модули (изделия).

Поставленная цель достигается в процессе разработки индивидуальных заданий на проектирование алгоритмического, структурно-функционального и технического обеспечения проекта. Каждый проект должен сопровождаться эмуляторами (схемными или программными) из выбранных (заданных) инструментальных средств или реализованным опытным образом. Следует помнить, что в процессе проектирования новое устройство (или система) должно быть отражено (спроектировано) на соответствующих машинных носителях информации или в «твердых» копиях. Иными словами, должна быть разработана и выпущена техническая и программная документация, по которой проектируемое устройство (или система) может быть изготовлено в виде макетов, эскизов, опытных и промышленных образцов.

Тематика

Тематика курсовых проектов определяется сферами применения специализированных и функционально-ориентированных вычислительных устройств и систем, в частности систем-на-кристале. Это сферы промышленного производства и специального назначения, а также, непромышленная сфера применения.

В рамках данного курсового проекта также присутствуют задания на проектирование системного алгоритмического и программного обеспечения, а изучение возможности алгоритмизации исходной задачи, построение алгоритмов и анализ их характеристик осуществляется на базе прикладной теории алгоритмов и системного анализа.

Из-за многочисленности типов существующих специализированных прикладных задач вводится некоторое ограничение на число типов заданий с целью их практической реализации в условиях учебного процесса.

В этих условиях проектной реализации подлежат следующие типы прикладных задач.

Задачи программного управления (регулирования) техническими объектами. При этом проектированию подлежат:

устройства цифрового управления промышленными установками;

бортовые системы управления различными видами транспорта;

специализированные ЭВМ (СЭВМ) для узлов и устройств средств связи;

встроенные средства цифрового управления игральными автоматами;

встроенные микропроцессорные средства для электрических инструментов, бытовых приборов и др.;

различные цифровые регуляторы на базе микроконтроллеров.

Спектр применения СЭВМ настолько широк, что перечислять все приложения здесь неуместно.

Задачи вычисления (генерации) функций в стационарном и динамическом режимах. Здесь необходимо спроектировать функционально-ориентированные процессоры для:

инкрементной генерации алгебраических целых рациональных, дробно-рациональных, иррациональных функций;

инкрементной генерации трансцендентных функций (тригонометрических, показательных, логарифмических, гиперболических и др.).

Задания на проектирование выдаются индивидуально по решению преподавателя.

Реализация заданий происходит на следующей элементной базе: PIC 452/4520 (микроконтроллер фирмы Microchip), ATMEGA 128 (микроконтроллер фирмы ATMEL), CoolRunner II (СБИС типа CPLD фирмы Xilinx).

По желанию студента он может выбрать любой другой микроконтроллер или ПЛИС при условии, что проект будет доведен до конечной реализации.

В отдельных случаях допускает выполнение проекта только до эмуляции (моделирования) в САПР, если проект предоставленная элементная база недостаточна.

В том числе допускается выполнение части проекта, касающейся разработки программного обеспечения системного уровня.

Данные правила распространяются на комплексные курсовые проекты в 8-м семестре (квалификационный уровень “бакалавр”) и в 9-м семестре (квалификационные уровни “специалист” и “магистр”).

Задание на комплексный курсовой проект

Задание на комплексный курсовой проект (ККП) разрабатывается руководителем ККП с привлечением, при необходимости, консультантов по соответствующим разделам. В качестве консультантов ККП в 9-м семестре выступают руководители дипломных проектов соответствующих студентов. Задание выдается руководителем ККП на первой неделе 8 семестра, или консультантом на 4-й (5-й) неделе 9-го семестра.

В задании на ККП указываются :

объект проектирования и все необходимые для проектирования параметры в форме пожелания заказчика (руководителя проекта);

глубина проработки проекта (техническое предложение, эскизный проект, технический проект);

тип элементной базы для схемотехнического проекта;

количество чертежей (плакатов) графической части и электронная или бумажная их форма;

график выполнения и срок сдачи проекта.

Консультации по ККП проводятся в соответствии с графиком выполнения проекта, но не реже одного раза в неделю.

Проведение защиты комплексного курсового проекта

Выполненный комплексный курсовой проект в соответствии с календарным планом подается на проверку руководителю проекта (консультанту).

Пояснительная записка к ККП в 9-ом семестре должна быть проверена и подписана консультантом проекта за 3 дня до защиты.

Пояснительная записка к ККП в 8-ом семестре должна быть проверена и подписана руководителем на 15-ой неделе 8-го семестра, а защита с выставлением оценки должна проходить до начала сессии 8-го семестра.

Защита ККП проходит в форме публичного доклада на заседании комиссии по приему ККП, состав которой утверждается заведующим профилирующей кафедрой. Комиссия возглавляется, как правило, руководителем ККП и состоит не менее чем из трех преподавателей базовых дисциплин.

На доклад каждому студенту выделяется до 10 минут, в течение которых он должен осветить следующие вопросы:

· актуальность тематики, анализ состояния проблемы и постановку задачи;

· содержание выполненных разработок, эффективность принятых технических решений, полученные теоретические и практические результаты;

· выводы по работе, возможные области использования полученных результатов, перспективы продолжения работ в данном направлении.

Если защита ККП выполняется с использованием компьютера, графическая часть может выполняться в электронном виде и содержать не менее 5-6 электронных плакатов или демонстрационный ролик с соответствующим количеством слайдов.

Если защита ККП выполняется без использования компьютера, то графическая часть выполняется в форме плакатов, форматом не менее А3, и раздаточного материала для членов комиссии формата А4.

После доклада студента и ответов на вопросы комиссия принимает решение об оценке ККП по 4-балльной системе («отлично», «хорошо», «удовлетворительно», «неудовлетворительно») или по 100-бальной системе.

Принцип оценивания

Повторная защита с целью повышения оценки возможна с разрешения заведующего профилирующей кафедрой.

Реферат по ККП в 8-м семестре может рассматриваться в качестве одного из практических заданий при сдаче государственного экзамена специалиста квалификационного уровня “бакалавр”.

2 ЭТАПЫ ПРОЦЕССА ПРОЕКТИРОВАНИЯ

Проектирование новых видов и образцов машин, оборудования, устройств, аппаратов, приборов и других изделий представляет сложный и длительный процесс, включающий в себя разработку исходных данных, чертежей, технической документации, необходимых для изготовления опытных образцов и последующего производства и эксплуатации объектов проектирования.

Проектирование делится на стадии, этапы и процедуры. Выделяют стадии научно-исследовательских работ (НИР), опытно-конструкторских работ (ОКР), эскизного проекта, технического проекта, рабочего проекта, испытаний опытного образца.

Проектирование как отдельных объектов, так и систем начинается с выработки технического задания (ТЗ) на проектирование. В ТЗ содержатся основные сведения об объекте проектирования, условиях его эксплуатации, а также требования, предъявляемые заказчиком к проектируемому изделию. Важнейшее требование к ТЗ - это его полнота. Выполнение этого требования определяет сроки и качество проектирования. Следующий этап - предварительное проектирование - связан с поиском принципиальных возможностей построения системы, исследованием новых принципов, структур, обоснованием наиболее общих решений. Результатом этого этапа является техническое предложение.

На этапе эскизного проектирования производится детальная проработка возможности построения системы, его результатом является эскизный проект.

На этапе технического проектирования выполняется укрупненное представление всех конструкторских и технологических решений; результатом этого этапа является технический проект.

На этапе рабочего проектирования производится детальная проработка всех блоков, узлов и деталей проектируемой системы, а также технологических процессов производства деталей и их сборки в узлы и блоки.

Заключительный этап - изготовление опытного образца, по результатам испытаний которого вносят необходимые изменения в проектную документацию.

При неавтоматизированном проектировании наиболее трудоемкими являются этапы технического и рабочего проектирования. Внедрение автоматизации на этих этапах приводит к наиболее эффективным результатам.

Исторически сложилось так, что на данный момент существует две методики проектирования:

· традиционная, т.е. «ручная» методика проектирования, нормативная база которой была представлена в советских ГОСТах;

· методика проектирования с использованием современной элементной базы.

Новые проектные подходы потребовались не только из-за огромной сложности проектируемых схем (десятки миллионов вентилей), но и из-за таких проблем, как временная корреляция между логическими и физическими областями или достоверность верификации столь больших систем. Многие традиционные проектные средства и методологии не срабатывают на таких масштабах работы. Каждый шаг проектной процедуры, начиная от ввода проекта и кончая физической загрузкой, должен обеспечивать получение определенных промежуточных результатов. Если результаты проектирования на каком-либо этапе не соответствуют требованиям технического задания или существующим технологическим ограничениям, то результаты проектирования не могут быть переданы на изготовление.

Традиционная методика проектирования. Пожелания заказчика

Пожелания заказчика представляет собой неформальное написание технического задания, т.е. не определена еще точно постановка задачи для проектировщика.

Техническое задание на проектирование

Техническое задание (ТЗ) на проектирование должно содержать исчерпывающую и однозначную информацию о требованиях, предъявляемых к проекту. Требования многочисленны, разнообразны по характеру и группируются в следующие разделы:

· основание для разработки системы управления значение и область применения;

· условия эксплуатации: общий срок эксплуатации, режимы эксплуатации, продолжительность непрерывной работы; климатические условия (температура, влажность, давление и т.п.); механические нагрузки (ускорения, вибрации и т.п.); другие объективные условия эксплуатации (радиационные воздействия, биологические воздействия, условия транспортировки и хранения и т.д.); субъективные условия эксплуатации (требования к обслуживанию, ремонту и т.д.);

· технические данные системы управления: требования к габаритам и массе, точности и стабильности выходных характеристик, надежности работы, конструктивно-компоновочные требования и др.;

· сроки проектирования;

· затраты на проектирование;

· условия и объемы производства системы управления;

Помимо изложенных требований ТЗ может содержать специфические требования, определяемые особенностями либо устройства, либо его использование, либо особыми условиями производства и эксплуатации.

После проведенного анализа ТЗ уточняются, окончательно редактируют, согласовывают с исполнителем (разработчиком) и утверждают у заказчика.

Очевидно, что четкая и технически обоснованная формулировка ТЗ во многом предопределяет успех проектирования. Технически невыполнимые требования заведомо обрекают проектирование на провал. Ориентировка же на отсталые технические характеристики, отсутствие элементов технического предвидения, игнорирование и незнание современного уровня неизбежно приводят к созданию несовершенной, неперспективной системы.

Таким образом, этап формирования ТЗ на проектирование является чрезвычайно ответственным. К его разработке и согласованию привлекаются наиболее квалифицированные специалисты, хорошо ориентирующиеся в современном состоянии и научно-технических достижениях в области проектирования цифровых устройств.

Техническое предложение

Техническое предложение является частью предварительного проектирования. На этапе предварительного проектирования обычно решаются следующие задачи:

1) изыскание и разработка принципов построения системы, отвечающих заданным или предполагаемым требованиям;

2) разработка и оптимизация структуры системы по основным (определяющим) критериям или их совокупности;

3) изыскание и разработка; принципов построения технических средств, обеспечивающих создание - системы с лучшими характеристиками;

4) изыскание (разработка) методов оптимизации характеристик систем и их составляющих;

5) изыскание (разработка) методов проектирования систем, обеспечивающих достижение заданных характеристик с наименьшей затратой времени и сил.

Предварительное проектирование системы начинается с выбора структурной схемы и технических средств ее реализации, определения основных статических и динамических характеристик системы и составляющих ее звеньев. Иными словами, осуществляется предварительная "завязка" системы с учетом удовлетворения основных требований технического задания: по точности, надежности, габаритно-массовым показателям, энергопотреблению и др. Последние два показателя особенно существенны для систем управления нестационарными объектами, таких, например, как летательные аппараты.

Для предварительной оценки основных характеристик и оптимизации системы по этим характеристикам обычно широко используются методы математического моделирования на цифровых и аналоговых ЭВМ.

Чрезвычайно ответственный момент предварительного проектирования - выбор технических средств проектируемой системы, и прежде всего элементной базы (системы элементов) - той технической основы, на которой строится система.

Если в процессе проектирования можно изменить структуру системы с относительно небольшими потерями времени и средств, но без коренной перестройки производства, то изменение системы элементов им последующих этапах проектирования связано в большинстве случаев со значительной перестройкой производства и может сделать дальнейшее проектирование невозможным. Иными словами, технические средства (элементная база) являются более консервативными к изменениям, чем структура.

Выбор системы элементов наряду со структурными методами в значительной степени предопределяет надежностные характеристики проектируемой системы. Следует отметить, что обеспечение надежности проектируемой системы составляет одну из задач предварительного проектирования. Попытка отложить решение этой задачи на более поздние этапы проектирования, как правил связана со значительными издержками.

Итоги предварительного проектирования находят отражение либо в технических предложениях по системе, либо в аванпроекте. В ряде случаев вместо этих документов выпускается отчет.

Эскизное проектирование

На этапе эскизного проектирования производится дальнейшее уточнение и конкретизация структурной схемы системы.

Уточняются состав подсистем и выполняемые ими функции, определяются их основные характеристики, подсистемы и системы в целом разбиваются на составляющие их функциональные части - приборы и блоки. Все это позволяет определить детальный приборный состав системы, включающий как основные составляющие системы, так и вспомогательные, и утвердить его у руководителя проекта. При определении приборного состава системы важно не упустить ничего, чтобы исключить необходимость разработки дополнительной аппаратуры на более поздних этапах проектирования (например, аппаратуры, необходимой для обслуживания системы при ее эксплуатации).

Выпуск приборного состава позволяет конкретизировать задачи, участвующие в проектировании подразделений, и определить сроки выполнения проектных работ, что находит отражение в выпускаемых на этом этапе графиках проектирования.

Наряду с этим производится детальный анализ характеристик технических средств и их оптимизация, уточняется и по возможности сокращается номенклатура элементов, используемых в системе.

С целью получения более достоверной информации о системе и ее составляющих на этапе эскизного проектирования широко развертываются работы по математическому и по возможности физическому моделированию, при этом исследуются модели, как отдельных составляющих, так и системы в целом.

Существенным является то, что на рассматриваемом этапе проектирования разрабатывается и выпускается эскизная техническая документация, включающая в себя схемную, программную, конструкторскую, монтажную, технологическую и текстовую документацию.

Следует иметь в виду, что одновременно с отработкой системы и ее составляющих осуществляется отработка (изменение) технической документации, которая проводится в несколько этапов.

Значительное внимание на этапе эскизного проектирования уделяется обеспечению стабильной и надежной работы системы. С этой целью анализируются границы возможных изменений статических и динамических параметров.

Обычно на этапе эскизного проектирования выполняются габаритно-массовые макеты аппаратуры системы с заданным расположением центра масс (тяжести), которые используются для макетирования размещения системы управления на объекте.

Этап эскизного проектирования завершается выпуском и защитой эскизного проекта.

В состав эскизного проекта входят: пояснительная записка с изложением теоретических предпосылок, расчетов, материалов моделирования и экспериментальных исследований, анализа полученных результатов; эскизная техническая документация; заключение о соответствии полученных результатов требованиям технического задания.

Техническое проектирование

Исходными материалами для разработки технического проекта служат: эскизный проект, заключение заказчика, уточненное по результатам защиты эскизного проекта, техническое задание на разработку системы. Все это создает возможность для разработки полного комплекта технической документации, включая и эксплуатационную, а именно:

1) электрические схемы;

2) графические документы;

3) программные документы: спецификация, текст программы, описание программы, формуляр, описание применения, руководство оператора, руководство системного программиста и т.д.;

4) текстовые документы: общие технические условия на систему; различные инструкции.

Следует иметь в виду, что технология изготовления системы должна разрабатываться с учетом имеющихся производственных условий и возможностей. Если условия производства учитываются не на ранних стадиях проектирования, а после выпуски технической документации, то это значительно усложняет и удлиняет процесс освоения изготовления аппаратуры системы.

Испытания системы на этапе технического проектировании дают существенно больше информации, чем испытания, проводившиеся на этапе эскизного проектирования.

Испытания на этапе технического проектирования позволяют получить в первом приближении представление о статистических характеристиках основных и промежуточных параметров системы. При этом уточняются характеристики системы, и корректируется документация, и в первую очередь технические условия и инструкции по регулировке. При неблагоприятных результатах испытаний в ряде случаев может появиться необходимость в существенной переработке схем, программ и конструкций аппаратуры. На данном этапе проводятся детальные испытания системы и ее составляющих по оценке надежности. Существенно, что на этом этапе проектирования начинаются натурные испытания опытных образцов системы и завершаются они испытанием серийных образцов.

Рабочий проект

Рабочий проект создается на основании технического проекта разработчиком или коллективом разработчиков.

На стадии рабочего проекта проводят: разработку и уточнение детальной структуры проекта, его подсистем, взаимосвязи с другими системами; построение алгоритмов и структурных схем автоматизированных процессов проектирования; формирование математического, программного, информационного, организационное обеспечения, разработку документации для монтажа, настройки и эксплуатации; создание проектов программ и методик испытаний и опытной эксплуатации; оформление и утверждение.

Результатом работ на этой стадии являются документ «Рабочий проект» и комплект эксплуатационных документов.

Анализ методики проектирования с использованием современной элементной базы

Рассмотрим общие методы проектирования на основе ПЛИС

Методика проектирования на основе ПЛИС включает в себя пять основных последовательно выполняемых этапов:

· исходное задание;

· техническое задание;

· техническое предложение;

· эскизный проект;

· технический проект;

· макетирование.

Данные этапы имеют тоже само название, что и этапы проектирования традиционной методики, но в связи с ростом проектирования цифровых устройств на ПЛИС, эти этапы немного видоизменились по набору выполняемых действий. Ниже приведены новые действие выполняемые при проектировании:

· ввод исходных данных проекта;

· функциональное моделирование;

· выполнение синтеза отдельных частей проекта и всего проекта в целом;

· временное моделирование проекта;

· программирование и тестирование ПЛИС.

В общем случае разработка сложных иерархических проектов на ПЛИС ничем не отличается от известных подходов к проектированию цифровых систем. Здесь возможно проектирование как “сверху вниз”, так и “снизу вверх”, использование библиотек стандартных блоков и функциональных узлов, а также заимствование опыта предыдущих разработок. Рассмотрим более подробно основные этапы методики проектирования на основе ПЛИС.

Ввод проекта

Ввод проекта заключается в описании одним из способов машинного представления всех частей проекта. Различные пакеты имеют разные способы ввода, но наиболее распространенными являются следующие:

· текстовый;

· схемный;

· в виде временных диаграмм;

· задание графа переходов.

Текстовый ввод предполагает описание проекта (или его части) на некотором исходном языке используемого программного средства в виде текстового файла. Наибольшее распространение получили языки описания аппаратуры VHDL и Verilog. Текстовый файл исходного описания проекта, как правило, включает заголовок, определение переменных и назначение им соответствующих выводов ПЛИС, а также описание функционирования устройства в виде логических уравнений, алгоритма функционирования, таблицы истинности или конечного автомата. Более детально данный процесс ввода проекта будет рассмотрен ниже.

Схемный ввод осуществляется с помощью графического редактора используемого программного средства. Для удобства ввода принципиальных схем больших проектов графический редактор, как правило, содержит библиотеки стандартных элементов жесткой логики (например, серии 7400), а также библиотеку параметризированных функциональных узлов (вентилей, шифраторов, дешифраторов, мультиплексоров, демультиплексоров, триггеров, регистров, счетчиков и т.д.).

Ввод проекта в виде временной диаграммы осуществляется с помощью графического редактора. Вначале определяются переменные (сигналы) проекта, а затем описывается поведение устройства в виде временной диаграммы.

Способ ввода проекта в виде графа переходов позволяет просто и наглядно задать поведение проектируемых цифровых автоматов при разработке различных устройств управления.

Практически все современные пакеты САПР допускают ввод иерархических проектов, причем в одном проекте применяется сочетание разнообразных способов ввода. Сложный иерархический проект не обязательно сразу вводить полностью. Его можно вводить и компилировать по ветвям дерева иерархии.

Синтез проекта

Автоматизированный синтез цифровых проектов фактически заключается в компиляции исходного описания проекта во внутреннее представление используемого программного средства (абсолютный файл и/или JEDEC-файл для настройки ПЛИС). В последующем абсолютный файл используется для моделирования. Многие пакеты также позволяют формировать файлы для связи проекта с другими пакетами функционально-логического и конструкторского проектирования. Задачи оптимизации, решаемые на этом этапе, сводятся к минимизации логических функций, управляющих выходными макроячейками ПЛИС.

Моделирование проекта

Моделирование делится на функциональное, временное (временной анализ) и физическое. Функциональное моделирование выполняется программным обеспечением на основании тестовых входных векторов и анализа полученных выходных сигналов. Тестовые векторы могут задаваться в файле исходного описания проекта или находиться в отдельном файле. Многие пакеты допускают задание тестовых векторов в виде временных диаграмм. При функциональном моделировании возможно решение следующих задач:

· определение выходных значений по заданным входным воздействиям;

· сравнение вычисленных выходных значений с эталонными;

· моделирование неисправностей устройства.

Временное моделирование выполняется на временных моделях ПЛИС и заключается в определении времени прохождения и формирования различных сигналов. Результаты временного моделирования могут также представляться в виде временных диаграмм.

Кроме того, с помощью отдельной программы, называемой временным анализатором, производится анализ в целях обнаружения путей сигналов, критичных по скорости. Оптимизация путей распространения сигналов может повысить быстродействие всего проекта.

Моделирование проекта на физическом уровне (тестирование на программаторе) осуществляется после настройки ПЛИС. Для этого в файл, содержащий информацию о настройке ПЛИС и управляющий работой программатора, добавляется информация для тестирования устройства. Последняя может быть получена на основании тестовых векторов и результатов функционального моделирования, причем здесь возможно моделирование в реальном масштабе времени, в том числе на предельной частоте работы ПЛИС.

ПЛИС, поддерживающие JTAG-стандарт, могут тестироваться непосредственно на плате методом граничного сканирования (Boundary Scan). Для этого программным обеспечением на основании тестовых векторов создаются тестовые последовательности в JTAG-стандарте. При этом допускается тестирование:

· одного ПЛИС;

· цепочки ПЛИС;

· всех устройств проекта (в том числе и цепочки ПЛИС), поддерживающих JTAG-стандарт.

Программирование ПЛИС

Программирование ПЛИС заключается в его настройке на заданный алгоритм функционирования. Стандартные ПЛИС программируются с помощью программаторов. Технологии программирования (последовательности подаваемых сигналов, уровни напряжений и др.) могут существенно отличаться даже для одних и тех же ПЛИС, но производимых различными фирмами. Поэтому большое значение имеет использование только сертифицированных программаторов, рекомендуемых фирмами-изготовителями ПЛИС. ПЛИС типа FPGA, в которых настраиваемым элементом является SRAM, конфигурируются всякий раз при включении питания. Процесс конфигурирования состоит из двух фаз: загрузки данных и обнуления всех регистров. Данные о настройке ПЛИС типа CPLD могут поступать от управляющего компьютера, микропроцессора, ПЗУ, ОЗУ, других PLD. Форма передаваемых данных может быть как последовательная, так и параллельная. Имеется также ряд режимов программирования, когда PLD выступает в качестве активного устройства (само управляет процессом загрузки данных) и в качестве пассивного устройства (другое устройство управляет процессом загрузки данных).

Современные ПЛИС поддерживают JTAG-стандарт и могут программироваться на плате, используя сигналы JTAG-стандарта. Для этого на границе платы устанавливается специальный разъем для передачи сигналов управления процессом программирования. Несколько ПЛИС на одной плате могут объединяться в цепочки, но в каждый момент времени допускается программирование только одного ПЛИС.

3. ОБЩАЯ СТРУКТУРА ПРОЕКТА

Пояснительная записка комплексного курсового проекта должна состоять из двух основных разделов - раздела системотехнического (системного) проектирования и раздела схемотехнического (технического) проектирования. В результате выполнения первого раздела необходимо сформировать функциональную структуру спецвычислителя, а результат реализации второго раздела - представление заказчику технической структуры СЭВМ, составленной из коммерческих элементов и узлов (технических модулей). При этом представление эмулирующих программ на кросс-средствах обязательно.

Более детальные этапы проработки проекта показаны на рис.1.

Системное

проектирование

1

Техническое

проектирование

2

Рисунок 3.1 - Этапы проектирования

Таким образом, пояснительная записка включает основные разделы согласно рис. и другие необходимые пункты по Госстандарту Украины [11]. Объем пояснительной записки - 35…40 страниц формата А4 без приложений. Графическая часть выполняется с помощью компьютерных технологий и включается в состав пояснительной записки.

Законченный комплексный курсовой проект проверяет руководителем два раза:

1) практическую реализацию задания;

2) пояснительную записку;

- для определения его готовности к защите. Компьютерная защита проекта осуществляется перед комиссией из трёх преподавателей кафедры, которая выставляет итоговую оценку выполнения и защиты курсового проекта.

Сравнительная таблица

Этапы

Уровни

Документы, изготавливаемые на данном этапе (уровне)

Разрабатываемые (задаваемые) параметры

Выполняемые работы

Выполняемые работы применительно к ПЛИС

Исходное задание (разработка технического задания (ТЗ))

Концептуальный (предпроектная подготовка)

Вход: пожелание заказчика

Выход: техническое задание (ТЗ)

Решаемая задача, время решения, точность, напряжение, мощность, конструктивная реализация, стоимость

Преобразование пожеланий заказчика (исходного задания) в ТЗ

Принятие решения об использовании ПЛИС

Техническое предложение (ТП)

Системотехнический

Вход: ТЗ

Выход: спецификация, общая структурная схема устройства

ТЗ: диапазон изменения переменных, интенсивность заявок, выходной диапазон значений

ТП: разрядность, частота, тип интерфейса

Выбор математического обеспечения, оптимального решения и сравнение, разбиение алгоритмов на подсистемы, выбор типа элементной базы

Поиск готовых решений, математическое моделирование, выбор вида схемотехнического решения, спецификация всего устройства

Эскизный проект (ЭП)

Структурно-блочный (техническо-блочный, алгоритмический, командный)

Вход: спецификация

Выход: подробная структурно-блочная схема устройства

Разрядность интерфейсов между блоками, задержки, синхронизация

Описание блоков и их взаимосвязь, выбор вариантов, верификация, тесты временных динамических характеристик, подтверждение элементной базы, выбор типа элементной базы в САПР, связь с конструктивном, способ подключения, разработка модулей другим разработчиком (по необходимости)

Составление спецификаций отдельных блоков, выбор типа кристалла (выходной формат спецификации)

Технический проект (рабочий проект)

Функционально-блочный (структурно-функциональный)

Вход: структурно-блочная схема устройства

Выход: структурно-функциональные схемы отдельных блоков

Выбор сигналов, переменных, шин, элементов памяти

Описание и моделирование функций ЯОА, установка цены чипа и поиск аналогов, анализ верификационного покрытия (по необходимости его отладка), организация проекта и принцип построения модели

Составление моделей на ЯОА и ее отладка (TestBench)

Макетирование

Схемотехнический

Вход: структурно- функциональные схемы отдельных блоков

Выход: электрические принципиальные схемы разработанных блоков

Разработка электрических принципиальных схем устройства (синтез)

Выбор типа микросхемы для синтеза, синтез и оптимизация, постсинтезное моделирование, имплементация, программирование

4. МЕТОДИЧЕСКИЕ УКАЗАНИЯ К ВЫПОЛНЕНИЮ КУРСОВОГО ПРОЕКТА

При работе над техническим заданием (ТЗ) рекомендуется поэтапное, последовательное во времени выполнение разделов. Оформлению соответствующих разделов должно предшествовать детальное изучение вопросов по рекомендованным литературным источникам.

При оформлении раздела «Введение» следует указать на основные задачи, стоящие перед данной отраслью техники, на значение вычислительной техники для её конкретно заданной сферы применения, сформулировать цель проектирования.

В разделе «Выводы» подводится итог выполненной работы в виде поэтапного перечисления всех полученных результатов.

Рекомендации по реализации основных разделов проекта следуют ниже.

Пример цикла проектирования устройства на ПЛИС

Проектирование технического устройства начинается с пожелания заказчика. Пожелание заказчика для проектирования данного устройства звучит так: разработать кодоуправляемое устройство для формирования произвольных импульсных последовательностей с частотой f, равной 65 МГц. В результате анализа технического предложения заказчика мы получаем техническое задание. Данное устройство будет спроектировано на ПЛИС, этот момент объясняется тем, что в настоящее время данная техническая база является наиболее дешевой по сравнению с другими. На сегодняшний день наиболее развитыми являются два типа устройств программируемой логики - FPGA и CPLD. CPLD (комплексные программируемые логические устройства), потому что не содержат матриц «И» и «ИЛИ». FPGA включают в себя специальные логические блоки для реализации требуемых функций и сеть конфигурируемых межсоединений.

Техническое задание. Назначение устройства

Кодоуправляемое устройство для формирования произвольных импульсных последовательностей с частотой f, равной 65 МГц.

Входные/выходные параметры

На вход устройства подаются 2 одноразрядных числа, которые являются управляющими для данного устройства, а также 9-ти разрядное двоичное число, являющееся информацией для настройки. Результатом работы устройства является произвольная импульсная последовательность. В таблице 4.1 и таблице 4.2 представлены входные и выходные параметры для проектирования устройства соответственно.

Таблица 4.1 Входные параметры

Входы

Разрядность

Описание

Reset

1 бит

Установка в начальное состояние устройства

Str

1 бит

Строб ввода

Data

9 бит

Информационные данные

Таблица 4.2 Выходные параметры

Выходы

Разрядность

Описание

Ready

1 бит

Готовность устройства к приему новых информационных данных

DataOut

1 бит

Произвольная импульсная последовательность

Выбор типа кристалла

Программируемые пользователем вентильные матрицы (Field Programmable Gate Arrays - FPGA) впервые были разработаны фирмой Xilinx в 1985г. Настраиваемыми элементами в FPGA являются программируемые мультиплексоры. Настройка FPGA на заданное функционирование выполняется каждый раз перед началом ее работы. Необходимая для этого программа настройки предварительно записывается в ПЗУ (ОЗУ). Сразу после включения питания производится загрузка информации из ПЗУ и осуществляется автоматическая инициализация FPGA (для этого FPGA содержит необходимые логические схемы). Возможно также выполнение настройки FPGA под управлением микропроцессора или микроконтроллера.

Микросхемы типа CPLD применяются в проектах с большим количеством вентилей. Но по современным меркам схема даже с 20000 вентилями не является большой. Для реализации крупных проектов удобно использовать чипы, обладающие еще большей логической вместимостью. Такими чипами являются программируемые пользователем вентильные матрицы. По своей структуре FPGA отличаются от SPLD и CPLD, потому что не содержат матриц “И” и “ИЛИ”. Вместо этого FPGA включают в себя специальные логические блоки для реализации требуемых функций.

Применение CPLD особенно эффективно при реализации управляющих и интерфейсных схем, а FPGA - при реализации алгоритмов цифровой обработки сигналов.

Техническое предложение

В результате анализа технического задания мы получаем техническое предложение, которое состоит из следующих этапов:

· поиск готовых решений;

· выбор семейства кристалла;

· математическое моделирование;
· выбор схемотехнического решения;
· спецификация всего устройства.

Поиск готовых решений нам не принес никакого результата, поэтому мы проектируем данное устройство по известному нам алгоритму. Так как устройство простое, то мы не используем никаких программ для математического моделирования.

Спецификация всего устройства

В таблице 4.3 приведены данные для определения коэффициента деления частоты при помощи старших четырех бит информационной последовательности.

компьютерный кристалл схемотехнический моделирование

Таблица 4.3 - Коэффициенты деления частоты

N

Ki

Nki

1

1011

0001

2

999

0010

3

820

0100

4

733

0101

5

645

1000

6

551

1001

7

483

1010

Формат слова - CNkiNj, где С - бит четности, Nki - старшие четыре разряда слова, Nj - младшие четыре разряда слова.

Ki - коэффициент деления частоты.

n1 - число бит, необходимых для представления коэффициента деления частоты в двоичной системе исчисления.

n2 - разрядность выходной импульсной последовательности.

m - число одноразрядных коммутирующих импульсов.

n1=10; n2=7;m=8.

В таблице 4.4 представлены данные для коммутатора импульсов, где Код - m-разрядная последовательность.

Таблица 4.4 - Данные для коммутатора импульсов

N

Nj

Код

1

0110

1000111

2

0111

0111000

3

0101

1100110

4

1000

1110011

5

1001

0101100

6

1100

1111000

7

0011

0001111

8

0010

1010101

Интерфейс данного проектируемого устройства приведен ниже, на рисунке 4.1.

Рисунок 4.1 - Интерфейс проектируемого устройства

Выбор семейства кристалла

FPGA имеет типичную структуру вентильной матрицы. На рис. 4.2 представлен FPGA фирмы Xilinx - Spartan II (модель XC2S15).

ПЛИС типа FPGA фирмы Xilinx выполнены по SRAM КМОП технологии. Характеризуются высокой гибкостью структуры и изобилием на кристалле триггеров. При этом логика реализуется посредством матрицы так называемых LUT - таблиц (Look Up Table), а внутренние межсоединения - посредством разветвлённой иерархии металлических линий, коммутируемых специальными быстродействующими транзисторами.

Большая стоимость микросхем FPGA с встроенной RAM по сравнению со стоимостью заказных микросхем ограничивает использование FPGA для изготовления опытных образцов или мелкосерийной продукции. Этот недостаток FPGA устранен фирмой Xilinx выпуском новой серии микросхем FPGA - серий Spartan и Spartan-II, параметры которых приведены в таблице 4.3.

Таблица включает шесть устройств серии Spartan-II и их основные характеристики (логические ячейки, число системных вентилей, размерность массива CLB, кол-во CLB и др.).

Таблица 4.5 - Параметры семейства FPGA Spartan2

Device

Logic

Cells

System Gates

(Logic and RAM)

CLB

Array

(C*R)

Total CLBs

Maximum

Available

User I/O

Total Distributed RAM Bits

Total

Block RAM

Bits

XC2S15

432

15000

8*12

96

86

6144

16K

XC2S30

972

30000

12*18

216

132

13824

24K

XC2S50

1728

50000

16*24

384

176

24576

32K

XC2S100

2700

100000

20*30

600

196

38400

40K

XC2S150

3888

150000

24*36

864

260

55296

48K

XC2S200

5292

200000

28*42

1176

284

75264

56K

Семейство FPGA Spartan-II имеет рекордно низкую стоимость в расчете на один вентиль при плотности упаковки до 200 тысяч вентилей. В кристалле имеется несколько блоков ОЗУ каждый по 4КБита, также возможна реализация 16 бит памяти на каждом 4-х входовом функциональном генераторе.

Устройства Spartan-II сочетают черты гибкой, регулярной архитектуры, которая охватывает матрицу конфигурируемых логических блоков (CLB), окруженную программируемыми блоками ввода - вывода, связанных между собой богатой иерархией быстрых, многосторонних ресурсов межсоединений.

Изобилие таких ресурсов позволяет семейству Spartan-II реализовывать чрезвычайно громоздкие и сложные проекты.

Устройства Spartan-II имеют более высокую производительность по сравнению с предыдущими семействами FPGA. Проекты могут работать с системной частотой синхронизации до 200 МГц, включая блоки ввода/вывода (Input/Output - I/O).

Кроме этого чипы Spartan-II отличает целый ряд достоинств:

- низкая стоимость разрабатываемых устройств

- высокая скорость проектирования

- большая размерность чипа (до 200,000 системных вентилей).

- высокое быстродействие

Рисунок 4.2 - Структура кристалла Spartan-II.

Программируемая пользователем вентильная матрица Spartan-II, показанная на рисунке 4.2, охватывает: конфигурируемые логические блоки (configurable logic blocks - CLBs) и блоки ввода - вывода (IOBs). CLB блоки служат для создания функциональных логических элементов, а блоки I/O создают интерфейс между контактами микросхемы и CLB блоками.

Архитектура Spartan-II также включает следующие цепи:

- специальные блоки памяти размером 4096 бит каждый;

- схемы автоподстройки задержек (DLL) для выравнивания задержек стробирующих сигналов, а также внутреннего управления стробированием.

Базовым строительным элементом CLB блока является логическая ячейка. Логическая ячейка включает 4-х входовой функциональный генератор, логику ускоренного переноса и запоминающий элемент. Выход каждого функционального генератора в каждой логической ячейке подсоединен к выходной линии CLB-блока и к D-входу триггера.

Каждый CLB-блок в серии Spartan-II содержит четыре логические ячейки, организованные в виде двух одинаковых секторов (Slice). На рис. 4.3 один сектор изображен более детально.

В дополнение к четырем базовым логическим ячейкам, CLB-блок серии Spartan-II содержит логику, которая позволяет комбинировать ресурсы функциональных генераторов для реализации функций от пяти или шести входов.

Рисунок 4.3 - Сектор (Slice) FPGA Spartan-II.

Функциональные генераторы реализованы в виде 4-х входовых функциональных таблиц (LUT). Кроме использования в качестве функциональных генераторов, каждый LUT-элемент может быть также использован как синхронная память типа RAM размерностью 16x1 бит. Более того, из двух LUT-элементов в рамках одного сектора (Slice) можно реализовать синхронную RAM-память размерностью 16х2 бита или 32х1 бит, либо двухпортовую синхронную RAM-память размерностью 16х1 бит.

На LUT-элементе микросхемы Spartan-II может быть реализован 16-битный сдвиговый регистр, который идеально подходит для захвата высокоскоростных или пакетных потоков данных. Этот режим может также использоваться для запоминания данных в таких приложениях, как цифровая обработка сигналов (Digital Signal Processing - DSP).

Запоминающие элементы в каждом секторе CLB-блока Spartan-II могут конфигурироваться как динамические триггеры (чувствительные к фронту сигнала) D-типа, либо как защелки, чувствительные к уровню сигнала. D-вход триггера может управляться либо от функционального генератора в рамках того же сектора CLB-блока, либо непосредственно от входов данного сектора.

Мультиплексор F5 в каждом секторе объединяет выходы преобразователя. Эта комбинация позволяет реализовать любую функцию 5-ти переменных или некоторые функции до девяти переменных. Точно так же мультиплексор F6 объединяет выходы изо всех четырех LUTов в CLB. Это позволяет реализацию любой функции 6-ти переменных или некоторые функции до 19 переменных. У каждого CLB имеется четыре, прямых пути. Эти пути обеспечивают дополнительные линии ввода данных или дополнительную маршрутизацию, которая позволяет сэкономить логические ресурсы.

Специальная логика переноса обеспечивает возможность быстрого переноса при реализации арифметических функций. CLB-блок Spartan-II поддерживает две отдельные цепочки переноса, одна на каждый сектор (Slice) CLB. Размерность цепочек переноса - два бита на CLB-блок.

В FPGA Spartan-II встроена особая блочная память. Она создана в дополнение к распределенной памяти небольшой емкости (Select RAM), реализованной на функциональных таблицах (Look Up Table RAM - LUTRAM).

Блоки памяти организованы в виде столбцов. Все устройства Spartan-II содержат два таких столбца, по одному вдоль каждой вертикальной кромки. Эти колонки увеличивают полный размер кристалла.

Для реализации выбирается кристалл типа FPGA семейства Spartan-II серии XC2S100-6 фирмы Xilinx.

Эскизный проект

После анализа технического предложения переходим к следующему этапу проектирования, а именно эскизному проекту. Данные, размером 9 бит, заносятся в регистр (RG), после чего они оттуда поступают на блок проверки на нечетность (mod). Если последовательность четная, то сигналу "ОК" присваивается "1", который запускает генератор импульсов (ГИ). Он, в свою очередь, вырабатывает частоту, равную 65 МГц, которая поступает на управляемый делитель частоты (УДЧ). Старшие 4 разряда слова Nki поступают на шифратор (ШФ), который преобразует поступающие на него данные в последовательность, разрядностью n1, которая и будет являться делителем частоты для УДЧ. С УДЧ частота, деленная на делитель, поступает на распределитель импульсов (РИ). Он служит для последовательной передачи n2 импульсов на формирователь выходных последовательностей, а также сигнала готовности "ГТ". Эти импульсы поступают на блок дизъюнкторов (БД), который предназначен для формирования m выходных последовательностей, которые поступают на коммутатор импульсов (КИ). Он производит коммутацию импульсных последовательностей, поступающих с БД. Выбор той или иной последовательности осуществляется с помощью младших 4 разрядов данных Nj, приходящих от входного регистра (RG).

Рисунок 4.4 - Подробная структурно-блочная схема устройства

Спецификация блоков

Блок «Шифратор». Данный блок выполняет шифрование данных, т.е. на вход поступают старшие разряды слова, с помощью которых определяется коэффициент деления частоты, уменьшенный на единицу. Входные параметры и данные для данного блока представлены в таблицах 4.6 и 4.7 соответственно.

Таблица 4.6 - Входные параметры для блока «Шифратор»

Data

4- разрядный входной сигнал, предназначенный для определения коэффициента частоты

DataOut

9 - разрядный выходной сигнал, который и является двоичным представлением коэффициента частоты уменьшенным на единицу


Подобные документы

  • Создание информационной системы "Голд", автоматизирующей работу Ювелирной мастерской. Моделирование бизнес-процессов с помощью диаграмм IDEF0 и UML и потоков данных DFD и sicuence. Составление технического проекта и задания на основании ГОСТ 34.602-89.

    курсовая работа [841,1 K], добавлен 10.02.2013

  • Разработка технического задания на проектирование, определение требований к программе. Предварительный выбор метода решения синтаксического анализатора, проектирование программного приложения, конфигурация технических средств программы и её тестирование.

    курсовая работа [28,5 K], добавлен 28.06.2011

  • Расчет статического модуля оперативной памяти и накопителя. Построение принципиальной схемы и временной диаграммы модуля оперативного запоминающего устройства. Проектирование арифметико-логического устройства для деления чисел с фиксированной точкой.

    курсовая работа [3,2 M], добавлен 13.06.2015

  • Разработка нового технического оборудования. Изготовление и эксплуатация цифровых устройств. Модель элемента дискретного устройства. Алгоритм загрузки логического элемента из файла и процедуры моделирования. Используемые методы, процедуры и функции.

    курсовая работа [306,1 K], добавлен 24.06.2012

  • Выбор схемотехники, уровня технологии, топологии базового матричного кристалла. Определение компоновочных параметров логической схемы и функционального быстродействия. Принципы обеспечения помехоустойчивости. Расчет конструкции коммутационного элемента.

    контрольная работа [627,5 K], добавлен 24.06.2012

  • Проектирование и моделирование платы и корпуса цифрового устройства. Геометрическая модель платы и нахождение собственных частот. Исследование теплообмена с использованием граничного условия и вентилятора. Методы моделирования в системе SolidWorks.

    курсовая работа [2,3 M], добавлен 06.07.2012

  • Проектирование функционального модуля по учету кадров на предприятии в отделе кадров. Анализ предметной области. Создание документа, формально определяющего существование проекта, то есть технического задания на проект фрагмента информационной системы.

    курсовая работа [2,2 M], добавлен 11.12.2012

  • Разработка структурной схемы вычислительного устройства, выбор системы команд и определение форматов. Разработка алгоритма командного цикла, выполнения арифметических и логических операций. Проектирование операционного автомата, устройств управления.

    курсовая работа [2,8 M], добавлен 15.05.2014

  • Разработка алгоритма работы микроконтроллерного устройства или микроконтроллерной системы. Код программы на языке СИ. Создание библиотеки компонентов в Pattern.exe, Symed.exe. Выбор материала печатной платы. Определение конструктивных параметров платы.

    дипломная работа [513,6 K], добавлен 16.06.2017

  • Проектирование устройства для применения в области современных музыкальных инструментов, позволяющее играть любые тембры, содержащиеся в тон-генераторах. Разработка и программная реализация блока качественных оценок. Анализ результатов решения задачи.

    курсовая работа [1,0 M], добавлен 13.01.2011

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.