Синтез управляющих автоматов

Принципы организации управляющих автоматов. Разработка и проектирование автомата с жесткой и программируемой логикой. Разработка таблицы прошивки ПЗУ для УА с естественной адресацией микрокоманд. Структурный и абстрактный синтез управляющего автомата.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 16.03.2011
Размер файла 508,5 K

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

РОСОБРАЗОВАНИЕ ГОУ ВПО

«ПЕНЗЕНСКАЯ ГОСУДАРСТВЕННАЯ ТЕХНОЛОГИЧЕСКАЯ АКАДЕМИЯ»

Факультет институт промышленных технологий

Кафедра «Вычислительные машины и системы»

РАСЧЕТНО-ПОЯСНИТЕЛЬНАЯ ЗАПИСКА

К курсовой работе по дисциплине «Теория автоматов»

На тему: «Синтез управляющих автоматов»

Выполнил
студент группы 08В2
Федулаев В.
Руководитель
Федосеева Л.И
Пенза 2010 г.
РОСОБРАЗОВАНИЕ
ГОУ ВПО «ПЕНЗЕНСКАЯ ГОСУДАРСТВЕННАЯ ТЕХНОЛОГИЧЕСКАЯ АКАДЕМИЯ»
Факультет институт промышленных технологий
Кафедра «Вычислительные машины и сети»
ЗАДАНИЕ
на курсовую работу по дисциплине «Теория автоматов»
Студенту Федулаеву Вадиму
Группа 08В2
Тема работы: «Синтез управляющего автомата»
Исходные данные (технические требования на проектирование)
1. Разработать управляющий автомат (УА) специализированного операционного устройства, алгоритм работы которого задан логической схемой алгоритма (ЛСА)

где А0(y0), А1(y1, y2), А2(y3, y4), А3(y2, y5, y10), А4(y6),

А5(y7, y9), А6(y8), А7(y9, y10), А8(y11), А9(y12), Аk(yk).

2. По ЛСА разработать УА с жесткой логикой, используя автомат Мили. Для построения памяти использовать D-триггер.

3. Выполнить преобразование ЛСА в графическую схему алгоритма (ГСА).

4. По ГСА разработать таблицу прошивки ПЗУ для УА с программируемой логикой с естественной адресацией микрокоманд.

СОДЕРЖАНИЕ

1 ВВЕДЕНИЕ

2 АНАЛИЗ ИСХОДНЫХ ДАННЫХ

3 РАЗРАБОТКА УА С ЖЕСТКОЙ ЛОГИКОЙ

3.1 Абстрактный синтез УА

3.2 Структурный синтез УА

4 РАЗРАБОТКА УА С ПРОГРАМИРУЕМОЙ ЛОГИКОЙ

4.1 УА с естественной адресацией микрокоманд

4.2 Преобразование ЛСА в ГСА

4.3 Разработка таблицы прошивки ПЗУ

5 ЗАКЛЮЧЕНИЕ

Перечень условных обозначений

Список используемой литературы

1 ВВЕДЕНИЕ

Целью курсового проекта является разработка цифрового автомата с памятью. Под цифровым автоматом понимают устройство, предназначенное для преобразования цифровой информации, способное переходить под воздействием входных сигналов из одного состояния в другое и выдавать выходные сигналы. Отличительные особенности цифровых автоматов заключаются в том, что они имеют дискретное множество внутренних состояний, и переход из одного состояния в другое осуществляется скачкообразно. Дискретность информации, преобразуемой в автомате, проявляется в том, что она представляется посредством набора слов конечной длины в некотором алфавите. В частности, в двоичном алфавите, как это принято в ЭВМ, слова представляются в виде цепочки из нулей и единиц.

Реальные ЦА конечны, т.е. множество входных и выходных сигналов, а также число входных и выходных каналов и множество состояний автомата конечны.

На рисунке 1.1 представлена обобщенная структура произвольного операционного устройства, где D1 и D2 - шины, по которым поступает исходная информация и результаты ее обработки соответственно; X - шины, по которым поступают сигналы, характеризующие состояние ОА (например, отрицательный результат, переполнение сумматора и т.д.). Эти сигналы часто называют осведомительными; У - шины, по которым поступают управляющие сигналы из УА на ОА в соответствии с алгоритмом выполняемой в ОА операции; g - шины, по которым поступают сигналы, определяющие выполняемую операцию; СС - стартовый сигнал пуска операционного устройства; КР - сигнал, характеризующий конец работы алгоритма. Таким образом, можно отметить, что ОА реализует действия над исходной информацией (словами), т.е. является исполнительной частью операционного устройства, а управляющий автомат управляет работой ОА, т.е. вырабатывает необходимые последовательности управляющих сигналов в соответствии с алгоритмом выполняемой операции.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 1 - Обобщенная структура операционного устройства

Управляющие микропрограммные автоматы аппаратно могут быть реализованы на основе так называемой жесткой логики и программируемой логики.

Автомат с жесткой логикой строится на базе использования логических элементов (ЛЭ) и элементов памяти (элементарных автоматов с двумя внутренними состояниями). Изменить алгоритм работы такого автомата нельзя, не изменяя соединений между элементами.

Для автомата с программируемой логикой алгоритм работы записывается в управляющую память в виде микропрограммы, состоящей из макрокоманд. Микрокоманда содержит информацию о микрооперациях, которые должны выполняться в данном такте работы устройства, и об адресе в управляющей памяти микрокоманды, которая будет выполняться в следующем такте.

2 АНАЛИЗ ИСХОДНЫХ ДАННЫХ

По заданию на курсовое проектирование необходимо синтезировать управляющий автомат специализированного ОУ, алгоритм работы которого задан ЛСА.

где А0(y0), А1(y1, y2), А2(y3, y4), А3(y2, y5, y10), А4(y6), А5(y7, y9), А6(y8), А7(y9, y10), А8(y11), А9(y12), Аk(yk).

Множество входных сигналов управляющего автомата, которые задаются множеством логических условий в ЛСА: X={x1, x2, x3, x4, x5, x6, x7}. Множество выходных сигналов управляющего автомата, которые определяются множеством управляющих сигналов: Y={y1, y2, y4, y5, y6, y7, y8, y9, y10, y11, y12}.

По заданной ЛСА разработать электрическую функциональную схему УА с жесткой логикой, при этом в качестве модели использовать автомат Мили. Память реализовать на D-триггере. Выполнить синтез УА с программируемой логикой по ГСА.

При прошивке ПЗУ будем использовать естественную адресацию команд. Для построения функциональной схемы УА с жесткой логикой будем использоваться логические элементы серии К555.

3 РАЗРАБОТКА УА С ЖЕСТКОЙ ЛОГИКОЙ

3.1 Абстрактный синтез УА

Абстрактный синтез управляющего автомата включает в себя:

- получение таблицы переходов;

- минимизацию числа состояний автомата;

- запись СКУ и СВФ.

Для разработки УА с жесткой логикой используются логические элементы и элементы памяти. Каждой операции, выполняемой в устройстве, соответствует набор логических схем, которые в определенных тактах возбуждают управляющие сигналы, соответствующие микропрограмме. Такие автоматы обладают высоким уровнем быстродействия, т.к. не требуют чтения программы из ПЗУ, что привело бы к большим задержкам получения следующей микрокоманды. Но такой автомат накладывает серьезные ограничения на изменения своего алгоритма работы, что приводит к серьезной перестройки узлов автомата в случае даже незначительного изменения его алгоритма работы.

Строим ПТП автомата Мура, анализируя все полученные пути между операторными вершинами (таблица 1).

Таблица 1 - ПТП автомата Мили

Исходное состояние Si

Выходной сигнал Yj(t)

Частный входной сигнал Xij(t)

Состояние перехода fi(x+1)

Выходной сигнал Yji

S0

y0

x1

S2

y1,y2

S1

ye

S1

ye

S2

y1,y2

S1

ye

S2

y1,y2

S3

y3,y4

S9

y9,y10

S12

y9,y10

S2

y1,y2

S15

yk

S3

y3,y4

1

S4

ye

S4

ye

S5

S6

S4

y2,y5,y10
y6

ye

S5

y2,y5,y10

1

S6

y6

S6

y6

S7

S11

y7,y9

y11

S7

y7,y9

1

S18

y8

S8

y8

S2

S15

y1,y2

yk

S9

y9,y10

S10

S13

S9

y6

y2,y5,y10

y9,y10

S10

y6

1

S11

y11

S11

y11

S2

S15

y1, y2

yk

S12

y9,y10

S13

S8

S9

y2,y5,y10

y8

y9,y10

S13

y2,y5,y10

1

S14

y12

S14

y12

1

S8

y8

По ПТП автомата Мили отыскиваем пары 1-эквивалентных состояний и записываем эквивалентные классы.

На основе таблицы пар определяем эквивалентные разбиения состояний. Она строится непосредственно по таблице переходов. Первый столбец таблицы пар содержит все пары 1-эквивалентных состояний. Столбцы таблицы пар обозначаются входными сигналами. На пересечении строк и столбцов таблицы пар записываются пары состояний, являющиеся первоприемниками по отношению к конкретному входному сигналу. Таблица пар для автомата Мили представлена в таблице 2.

Таблица 2 - Таблица пар для автомата Мили

0-эквивалентные состояния

0 - 1

2 - 2

1 - 1

8 - 11

2 - 2

15 - 15

Для определения минимизированной ПТП каждый класс эквивалентного разбиения состояний обозначим своим символом:

После подстановки введенных обозначений в исходную прямую таблицу переходов получим минимальную таблицу переходов автомата Мура (таблица 3).

Таблица 3 - Минимизированная таблица переходов автомата Мили

Исходное состояние Si

Выходной сигнал Yj(t)

Частный входной сигнал Xij(t)

Состояние перехода fi(x+1)

Выходной сигнал Yji

а1

ye

а2

y1,y2

а1

ye

а2

y1,y2

а3

y3,y4

а9

y9,y10

а11

y9,y10

а2

y1,y2

а14

yk

а3

y3,y4

1

а4

ye

а4

ye

а5

а6

а4

y2,y5,y10
y6

ye

а5

y2,y5,y10

1

а6

y6

а6

y6

а7

а8

y7,y9

y11

а7

y7,y9

1

а8

y8

а8

y8

а2

а14

y1,y2

yk

а9

y9,y10

а10

а12

а9

y6

y2,y5,y10

y9,y10

а10

y6

1

а8

y11

а11

y9,y10

а12

а8

а9

y2,y5,y10

y8

y9,y10

а12

y2,y5,y10

1

а13

y12

а13

y12

1

а8

y8

По минимальной таблице переходов автомата запишем СКУ и СВФ.

СКУ:


СВФ:

3.2 Структурный синтез УА

На этапе структурного синтеза строится логическая схема полученного ранее автомата Мура. Для этого используем канонический метод структурного синтеза автомата, предложенный академиком В.М. Глушковым. Данный метод позволяет свести задачу синтеза схемы автомата к задаче синтеза комбинационной схемы. При этом предлагается представление схемы автомата в виде памяти и комбинационных схем в соответствии с рисунком 2.

управляющий автомат микрокоманда логика жесткий

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 2 - Структура УА с жесткой логикой

Память автомата строится из I элементарных автоматов памяти - триггеров. Они служат для отображения состояния автомата. Каждое состояние am кодируется двоичным набором Q1, Q2,.., QI, компонентами которого являются состояния триггеров T1, T2,.., TI. Количество триггеров, необходимых для представления М состояний автомата определяется по формуле

.

Дешифратор состояний используется для преобразования кода элемента памяти в унитарный код состояний.

КС1 - комбинационная схема формирования сигналов возбуждения памяти. Она реализует функцию переходов автомата вида

КС2 - комбинационная схема формирования выходных сигналов. Она реализует функцию выходов автомата вида

.

В нашем случае: yn = 13

При включении устройства триггеры УА устанавливаются в произвольное состояние. Для приведения автомата в исходное состояние используется сигнал «Начальная установка».

Канонический метод структурного синтеза можно разбить на этапы.

1 этап. Память автомата будем строить на D-триггерах. Синтез комбинационной схемы, формирующей функции возбуждения памяти, выполним на основе мультиплексоров. Для реализации комбинационных схем используем элементы серии К555.

2 этап. Определим число элементов памяти при условии кодирования состояний автомата кодами минимальной длины.

Q1Q2Q3Q4 - код состояния автомата.

Производим кодирование состояний автомата. Результаты кодирования состояний поместим в карту Карно.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

00

01

11

10

00

10

2

4

9

01

14

11

3

6

11

13

7

10

8

1

12

4

Используя результаты кодирования состояний автомата, строим структурную таблицу переходов автомата Мура. Для этого дополняем таблицу переходов кодами состояний автомата и используя таблицу переходов D-триггера, определяем обязательные функции возбуждения памяти.

Таблица 4 - Структурная таблица переходов автомата Мили

Исходное состояние ai(t)

Частный входной сигнал Xij(t)

Состояние перехода

Сигналы возбуждения триггеров

aj(t+1)

Q1

Q2

Q3

Q4

D1

D2

D3

D4

a1

a2

0

0

0

1

D4

a1

1

0

0

1

D1

D4

a2

a3

0

1

1

1

D2

D3

D4

а9

0

0

1

0

D3

a9

0

0

1

0

D3

a2

0

0

0

1

D4

a14

0

1

0

0

D2

а3

1

a4

0

0

1

1

D3

D4

а4

a5

1

0

1

0

D1

D3

a4

a6

0

0

0

1

1

1

1

0

D2

D3

D3

D4

а5

1

a6

0

1

1

0

D2

D3

а6

a7

a11

1

0

1

1

1

0

0

0

D1

D2

D2

D3

D4

а7

1

a8

1

0

0

0

D1

а8

a2

a14

0

0

0

1

0

0

1

0

D2

D4

а9

a10

0

0

0

0

D2

а12

a9

1

0

0

0

1

1

1

0

D1

D3

D3

D4

а10

1

a11

0

1

0

1

D2

D4

а11

a2

a14

0

0

0

1

0

0

1

0

D2

D4

a12

1

a13

1

1

0

1

D1

D2

D4

a13

1

a8

1

0

0

0

D1

3 этап. По структурной таблице строится система канонических уравнений функций возбуждения памяти и проводится ее минимизация на уровне упрощения функций переходов автомата.

Система выходных функций была получена ранее на этапе абстрактного синтеза автомата Мура.

4 этап. Электрическая функциональная схема УА Мура строится в соответствии с рисунком 2 с использованием СКУ функции возбуждения памяти и СВФ.

Она представлена в приложении А.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

00

01

11

10

00

01

1

1

10

1

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

00

01

11

10

00

1

01

1

11

10

1

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

00

01

11

10

00

1

01

1

11

10

1

1

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

00

01

11

10

00

01

1

11

10

1

1

4 РАЗРАБОТКА УА С ПРОГРАМИРУЕМОЙ ЛОГИКОЙ

УА с программируемой логикой отличаются от автоматов с жесткой логикой тем, что алгоритм их функционирования хранится во внешней памяти, а не жестко зашит в схемотехнике самого автомата. Этим обуславливается гибкость таких автоматов к изменению алгоритма их работы. Данное свойство позволяет вносить в алгоритм различные изменения, без изменения схемотехники самого автомата.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 3 - Структурная схема УА с программируемой логикой

Но данный вид автоматов имеет один существенный недостаток - более низкое быстродействие по сравнению с автоматом сжесткой логикой. Это обуславливается тратой дополнительных тактов работы на выборку команд и данных из внешней памяти. Структурная схема УА с программируемой логикой показана на рисунке 3.

Для хранения микропрограмм используется блок управляющей памяти (УП), которая в большинстве случаев строится на основе ПЗУ. Регистр адреса микрокоманд (РА) хранит адрес микрокоманды выполняемой в данном такте. Блок формирования адресов микрокоманд (БФА) является основным блоком автомата. Этот блок после установки на РА начального адреса микрокоманды определяет все последующие адреса микрокоманд в соответствии с исходным алгоритмом управления. Регистр микрокоманд (РМК) включает в себя две основные части (поля МК): адресную (АЧ) и операторную (ОЧ), Генератор тактовых импульсов (ГТИ) определяет такты работы автомата

4.1 УА с естественной адресацией микрокоманд

В данном курсовом проекте используется естественный способ адресации. При данном способе адресации используются два вида команд: операционные и управляющие.

Операционная микрокоманда состоит только из операционных полей Y1,Y2…YN, которые содержат коды микроопераций, а адресом следующей микрокоманды является адрес выполняемый в данный такт микрокоманды, увеличенный на единицу.

Управляющая микрокоманда содержит поле для определения адреса перехода к следующей микрокоманде и поле для кодов логических условий X и используется для изменения естественного порядка следования микрокоманд.

Для определения вида микрокоманды в ее коде отводится один разряд под признак вида команды P. В случае если P=0, то микрокоманда является операционной, а в случае P=1 - управляющей.

Также в управляющую микрокоманду может быть добавлено одноразрядное поле П, которое определяет будет ли проверка условия в вершине ГСА прямой или инверсной. В случае если П=0, то проверяется прямое условие, а если П=1, то инверсное.

Простейшая структура УА, работающего с рассмотренными микрокомандами, приведена на рисунке 6. Блок управляющей памяти представлен ПЗУ на 64 12 - разрядных слова. В качестве регистра адреса (РА) используется двоичный счетчик с возможностью параллельной записи информации. Пуск автомата осуществляется подачей сигнала РА:=0.

Размещено на http://www.allbest.ru/

Размещено на http://www.allbest.ru/

Рисунок 6 - Схема УА с естественной адресацией микрокоманд

4.2 Преобразование ЛСА в ГСА

При преобразовании ЛСА в ГСА каждому оператору в ЛСА ставится в соответствие операторная вершина и каждому логическому условию (кроме тождественно ложного - оператора) - условная вершина ГСА

На первом этапе осуществляется построение отдельных элементов ГСА, число которых зависит от количества символов w в ГСА и равно w+1. Начальный фрагмент ГСА содержит операторные и условные вершины, соответствующие всем операторам и логическим условиям, которые записаны в ЛСА перед первым символом w. При этом все вершины соединены последовательно в том порядке, в каком записаны в ЛСА соответствующие им символы. Вход любой условной вершины x1, соединенный со следующей вершиной, отмечается символом “1”, второй вход этой вершины отмечается символом “0” и помечается номером, соответствующим номеру верхней стрелки, которая расположена в ЛСА непосредственно за логическим условием xi. Если между парой символов ЛСА имеется нижняя стрелка с номером i, то на фрагменте ГСА к линии, соединяющей две вершины, которые соответствуют этой паре символов, подводится входящая стрелка с этим же номером. Из последней вершины фрагмента выводится стрелка с номером, соответствующим номеру верхней стрелки, которая стоит непосредственно за символом w. Каждый последующий фрагмент ГСА содержит операторные и условные вершины, соответствующие всем операторам и логическим условиям в ЛСА, которые записаны между двумя последовательными символами w или за последним символом w для конечного фрагмента. Дополнительные требования: к начальной вершине каждого фрагмента подводится входящая стрелка с номером, соответствующим номеру нижней стрелки, стоящей в ЛСА непосредственно перед символом этой вершины. Из последней вершины конечного фрагмента стрелка не выводится. На втором этапе построения ГСА все одноименные входящие и исходящие стрелки фрагментов соединяются, в результате чего получается искомая ГСА. ГСА, полученная после преобразования исходной ЛСА, приведена в Приложении А.

4.1 Разработка таблицы прошивки ПЗУ

Таблица прошивки ПЗУ заполняется по граф-схеме алгоритма, в которой предварительно проводится нумерация каждой вершины. В таблице также указаны безусловные переходы на указанную вершину. Полученая прошивка ПЗУ показана в таблице 5.

Таблица 5 - Таблица прошивки ПЗУ с естественной адресацией микрокоманд

Адрес слова ПЗУ

Микрокоманда

Номера вершин ГСА

0

1

2

3

4

5

6

7

8

9

10

11

0

1

0

0

0

0

1

0

0

0

0

0

0

1

1

0

0

0

0

0

1

0

0

0

0

1

0

2

2

1

0

0

0

1

0

0

0

1

1

0

1

3

3

0

0

0

0

1

0

0

0

0

1

0

1

4

4

1

0

0

0

1

1

0

0

1

0

0

0

5

5

1

0

0

1

0

1

0

0

0

1

0

0

6

6

0

0

0

0

1

0

0

0

0

1

0

1

7'

7

0

0

1

0

1

0

0

0

0

0

0

0

7

8

0

0

0

1

1

0

0

0

0

0

0

0

8

9

1

0

0

1

0

0

0

1

0

0

0

1

9

10

0

0

0

1

1

1

0

0

1

0

0

1

10

11

0

0

1

0

0

0

0

0

0

0

0

0

11

12

1

0

0

0

0

0

0

1

0

0

1

0

БП на 23

13

1

0

0

0

1

1

0

1

0

1

0

0

12

14

0

0

1

0

0

1

0

0

1

0

1

0

13

15

1

0

1

1

0

0

0

1

0

1

1

1

14

16

0

1

0

1

1

0

0

0

0

0

0

0

15

17

0

0

1

0

1

1

0

0

0

0

0

0

16

18

1

1

0

0

0

1

0

0

0

0

1

0

23

19

0

0

0

0

0

0

1

1

1

1

1

1

24 кон.

20

0

0

0

1

1

0

0

1

0

0

1

0

17

21

0

0

1

0

0

1

0

0

1

0

1

0

18

22

1

0

1

0

0

0

0

1

0

1

1

1

19

23

1

0

0

1

1

1

0

0

1

1

1

0

20

24

0

0

0

0

1

0

0

0

0

1

0

1

21'

25

0

0

1

0

1

0

0

0

0

0

0

0

21

26

0

0

1

1

0

0

0

0

0

0

0

0

22

27

1

0

0

0

0

0

0

0

1

0

1

1

БП на 11

5. ЗАКЛЮЧЕНИЕ

В ходе курсовой работы были разработаны и спроектированы два автомата: с жесткой и программируемой логикой. Оба автомата реализовывали одну и ту же микропрограмму. Поэтому при выборе типа УА необходимо учитывать следующие критерии:

- стоимость;

- гибкость структуры УА;

- быстродействие.

Из-за различия принципов организации управляющих автоматов требуются разные затраты оборудования, необходимого для реализации одних и тех же функций. То есть наблюдается различие в стоимости автоматов. При реализации простых микропрограмм удобнее использовать УА с жесткой логикой. УА с программируемой логикой с экономической точки зрения целесообразнее строить, когда число вершин ГСА превышает 150-200.

Большей гибкостью обладает УА с программируемой логикой. В его работу легко можно вносить изменения, что часто бывает необходимым при проектировании операционных устройств.

Более высокое быстродействие имеет УА с жесткой логикой, так как затраты времени на формирование управляющих сигналов в автоматах с программируемой логикой увеличивается на длительность цикла ПЗУ.

Перечень условных сокращений и обозначений

1. ГСА - граф-схема алгоритма.

2. ЭВМ - электронная вычислительная машина.

3. ЦА - цифровой автомат.

4. ОА - операционный автомат.

5. УА - управляющий автомат.

6. КР - конец работы.

7. СС - стартовый сигнал.

8. ОУ - объекты управления.

9. ЛЭ - логические элементы.

10. ЛСА - логическая схема алгоритма.

11. ПТП - прямая таблица переходов.

12. СКУ - система канонических уравнений.

13. СВФ - система выходных функций.

14. КС - комбинационная схема.

15. ПЗУ - постоянное запоминающее устройство.

16. АП - адрес памяти.

17. ЛУ - логическое условие

Список литературы

1. Федосеева Л.И. Элементы теории цифровых автоматов: Учеб. пособие. - 2-е изд., перераб. и доп. -Пенза: Изд-во Пенз. гос. технолог. акад., 2004.- 132 с.

2. Федосеева Л.И. Синтез управляющих автоматов. Методическое указание. - Пенза: Изд-во Пенз. гос. технол. акад., 2005 - 30с.

3. ГОСТ 2.105.-95 ЕСКД Общие требования к текстовым документам.

4. ГОСТ 2.743-91 ЕСКД Обозначения условные графические в схемах.

Размещено на Allbest.ru


Подобные документы

  • Синтез цифровых схем, выбор элементной базы и анализ принципов построения управляющих автоматов с жесткой логикой. Граф-схемы алгоритмов умножения и деления чисел. Создание управляющего автомата типа Мили; выбор триггера, кодирование сигналов автомата.

    курсовая работа [1,8 M], добавлен 18.09.2012

  • Управляющий автомат и его связь с операционным автоматом. Разработка алгоритма работы управляющего автомата. Построение кодированной ПТП, синтез функций возбуждения и выходов. Реализация управляющего автомата с жесткой логикой на заданной элементной базе.

    курсовая работа [57,9 K], добавлен 29.12.2011

  • Исследование структурной схемы цифрового автомата и операционного устройства. Алгоритм функционирования цифрового автомата в микрооперациях. Кодирование его состояний. Характеристика функций возбуждения триггеров и формирования управляющих сигналов.

    курсовая работа [3,6 M], добавлен 06.12.2013

  • Структурная схема и синтез цифрового автомата. Построение алгоритма, графа и таблицы его функционирования в микрокомандах. Кодирование состояний автомата. Функции возбуждения триггеров и формирования управляющих сигналов. Схема управляющего устройства.

    курсовая работа [789,4 K], добавлен 25.11.2010

  • Управляющий цифрового автомат типа Мура. Абстрактный и структурный синтез автомата, построена функциональная схема. Функции выходов и возбуждения элементов памяти. Моделирование на ПК с использованием симулятора ModelSim. Описание автомата на языке VHD.

    курсовая работа [214,2 K], добавлен 07.11.2010

  • Проектирование конечного автомата, заданного оператором соответствия, с использованием канонического метода структурного синтеза автоматов. Тактирование от генератора синхронизирующих импульсов для устранения гонок в функциональной схеме автомата Мили.

    курсовая работа [1,6 M], добавлен 22.10.2012

  • Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата.

    курсовая работа [24,7 K], добавлен 01.04.2010

  • Проектирование цифровых автоматов Мили и Мура с памятью в булевом базисе по заданной ГСА. Составление частично структурированной таблицы переходов-выходов. Построение функций выходов, логической схемы автомата. Особенности его экспериментальной проверки.

    курсовая работа [628,7 K], добавлен 14.07.2012

  • Разработка функциональной и принципиальной схем управляющего устройства в виде цифрового автомата. Синтез синхронного счётчика. Минимизация функций входов для триггеров с помощью карт Карно. Синтез дешифратора и тактового генератора, функции выхода.

    курсовая работа [1,5 M], добавлен 23.01.2011

  • Синтез дискретного устройства, его структурная схема. Расчет дешифратора и индикаторов, их проектирование. Карты Карно. Синтез счетной схемы. Делитель частоты. Проектирование конечного автомата и его описание. Анализ сигналов и минимизация автомата.

    курсовая работа [217,8 K], добавлен 21.02.2009

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.