Процесс проектирования блока обработки данных в структурном базисе серии К1804ВС2

Блок обработки данных: общее устройство, выбор элементной базы. Структура операционного автомата. Расчет нагрузочной способности шины данных. Расчет длительности такта управляющего автомата. Память: построение, контроллер. Интерфейс шины процессор-память.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 07.01.2015
Размер файла 3,7 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

[Введите текст]

Введение

В процессе формирования вычислительная техника пережила немало преобразований и смен технологической основы. На данный момент производительность вычислительных машин очень велика, но все же не достаточна для решения большого спектра важных задач, что является достаточно большим мотиватором для дальнейшего развития. Чтобы развивать, необходимо детально понимать структуру и функционирование ЭВМ, а именно: блок обработки данных - «мозг» ЭВМ.

Поэтому в данном курсовом проекте будет рассматриваться процесс проектирования блока обработки данных в структурном базисе серии К1804ВС2.

1. Задание на курсовой проект

1.1 Общие аспекты проектирования

Объектом курсового проектирования является блок обработки данных широкого назначения в структурном базисе серии КМ1804(ВС2).

Проектируемое устройство должно реализовывать некоторую совокупность машинных команд. Процесс проектирования включает следующие основные шаги:

ознакомление с заданием на КП. Оценка возможных сложностей, поиск необходимой справочной литературы;

проработка структуры блока обработки данных в соответствие с техническим заданием;

синтез операционного автомата (ОА) в структурном базисе комплекта 1804;

синтез управляющего автомата (УА) с микропрограммируемой логикой в структурном базисе комплекта 1804;

синтез оперативной памяти (ОП) в соответствие с интерфейсными ограничениями;

разработка алгоритма регенерации динамического ЗУ;

разработка электрических схем и оформление пояснительной записки.

1.2 Исходные данные

Операционный автомат:

Серия: КМ1804ВС2;

Разрядность: 16 бит;

СчАК: 21 бит.

Управляющий автомат:

Объем МПЗУ: 3200 слов;

Серия: КМ1804.

Оперативная память:

Объем ОП: 4 МБ;

Длина слова: 32 бит;

Тип (модель): RAMD (Samsung KM44C1000D)

Интерфейс шины процессор - память: ISA

2. Блок обработки данных

2.1 Общее устройство

Основными узлами БОД являются операционный автомат (ОА), управляющий автомат (УА) (вместе ОА и УА составляют процессор), оперативная память (ОП), а также интерфейс, обеспечивающий взаимодействие ОП с процессором.

Структурная схема БОД вынесена на плакат, а также представлена в приложении A пояснительной записки.

Операционный автомат, обеспечивает выполнение логических операций и операций над целыми числами. Структурно в ОА выделяется блок осуществления вычислений АЛУ, набор регистров общего назначения РОН, счетчик адреса команд СчАК, регистр команд РгК, регистр слова состояния процессора ССП, регистр флагов.

Управляющий автомат предназначен для управления циклом обработки команд процессором. В этом блоке БОДа структурно выделяются микропрограммная память МПЗУ, регистр микрокоманд РгМК, дешифратор начального адреса, схема формирования признака результата, схема формирования адреса следующей микрокоманды.

Оперативное запоминающее устройство содержит в себе контроллер динамической памяти, банки запоминающих элементов (ОЗУ), cредства для записи и чтения ОЗУ.

2.2 Выбор элементной базы

В настоящее время существует широкий спектр серий элементов для схемотехнического проектирования. Особенностью схемотехнического проектирования на интегральных схемах является большая сложность правильного выбора элементной базы. Это связано с неоднозначностью выбора вариантов построения отдельных узлов, блоков и устройств из-за широкой номенклатуры микросхем.

Выбор микросхем для реализации компонентов системы необходимо было осуществлять исходя из соображения быстродействия, совместимости устройств, а также придерживаться набора схем заданного структурного базиса.

Арифметико-логическое устройство реализовано на 4 4-разрядных микропроцессорных секциях (МПС) К1804ВС2, соединенных схемой ускоренного переноса К1804ВР1.

Регистры организованы на микросхемах К1804ИР2. Блоки, осуществляющие мультиплексирование собраны на базе микросхемы К155КП7, для демультиплексирования данных - микросхемы К155ИД3.

Блоки, составляющие управляющий автомат, выполнены с использованием следующих микросхем: К1804ВУ4 - схема управления адресом микрокоманд, микросхема К558РР3 представляет собой постоянное запоминающее устройство, которое будет использоваться для хранения микрокоманд и начального адреса команды. В качестве регистра микрокоманды используются схемы К1804ИР2.

Блок оперативной памяти реализован в базисе зарубежных компонентов. Использовались 8 микросхем Samsung KM44C1000D с организацией 1 М слов по 4 бита. В качестве контроллера ОП была выбрана схема марки Renesas H8S/2377R, реализующая все необходимые сигналы памяти.

Интерфейс шины процессор-память представлен шиной ISA. Обеспечение сопряжения ОА с ОП будет производиться с помощью приемопередатчиков К1804ВА3.

3. Операционный автомат

3.1 Структура операционного автомата

Структурная схема ОА представлена на рисунке 3.1.

Рисунок 3.1 - Структурная схема ОА

Описание составляющих:

АЛУ - арифметико-логическое устройство, выполняет арифметические и логические операции. АЛУ построено на четырех микропроцессорных секциях (МПС) КМ1804ВС2. Микросхема представляет собой 4-разрядную наращиваемую микропроцессорную секцию. Условное графическое изображение, описание выводов и основные электрические параметры вынесены в приложение B. МПС дополнена схемой ускоренного переноса КМ1804ВР1, для уменьшения времени осуществления переноса разрядов из одной секции в другую. Соединение МПС с использованием схемы ускоренного переноса представлено на рисунке 3.2.

Регистры общего назначения, регистр флагов, регистр команд, и регистр слова состояния процессора выполнены на схемах К1804ИР2. Пример соединения регистров для наращивания разрядности представлен на рисунке 3.3.

Рисунок 3.2 - Построение 16-разрядного АЛУ на микросхеме К1804ВС2 с использованием схемы ускоренного переноса К1804ВР1

Рисунок 3.3 - Пример соединения регистров К1804ИР2

Для сопряжения ОА с интерфейсом ISA использовалась схема приемопередатчика К1804ВА3. Для получения необходимой разрядности, использовалось наращивание разрядности как показано на рисунке 3.5.

Таблица 3.1 - Назначение выводов микросхемы К1804ВА3

Рисунок 3.4 - Условное графическое изображение К1804ВА3

Таблица 3.2 - Основные электрические параметры К1804ВА3

Рисунок 3.5 - Пример построения 16-разрядного приемопередатчика на схемах К1804ВА3

Счетчик адреса команд выполнен на схеме счетчика К555ИЕ10. Микросхема представляет собой четырехразрядный синхронный счетчик. В качестве запоминающего элемента используется JK триггер, с внутренней задержкой. Счетчик имеет вход синхронизации С, вход установки нуля R, четыре информационных входа D1 - D4, входы разрешения счета V1, разрешения предварительной записи - V2, разрешения переноса P1, четыре выхода данных Q1 - Q4 и выход переноса информации P2.

Рисунок 3.6 - Условное изображение счетчика К555ИЕ10

Рисунок 3.7 - Наращивание разрядности счетчика К555ИЕ10

Таблица 3.3 - Таблица истинности К555ИЕ10

3.2 Расчет нагрузочной способности шины данных

В теории, при проектировании шины данных необходимо оценить величину токовой нагрузки. Передача данных по шине данных осуществляется через приемопередатчики. Проведем расчет нагрузки:

Значения входного тока для приемопередатчика:

IIL= 0,72 мА IIH= 100 мкА

Значения выходного тока для приемопередатчика:

IOL= 48 мА IOH= 15 мА

Таким образом получаем, что нагрузочная способность обеспечивается:

48 мА > 0,72 мА 15 мА > 100 мкА

4. Структура управляющего автомата

4.1 Описание структуры

Устройство управления (УУ) представляет собой комбинационную схему, имеющую семь входов. Оно преобразует внешние управляющие сигналы и внутренний сигнал с ФПН в набор управляющих сигналов для блоков микросхемы.

Управление блоками проектируемого устройства возлагается на управляющий автомат (УА) или блок микропрограммного управления (БМУ). Длину управляющего слова примем равной 120 бит. Таким образом, разрядность регистра микрокоманды, хранящего текущее управляющее слово, будет равна 120 битам. Длина слова МПЗУ, хранящего набор из всех управляющих слов или хранящего все микропрограммы, также будет равна 120 битам. Именно из МПЗУ будет осуществляться выборка микрокоманд.

Перечислим основные блоки управляющего автомата. Ключевую роль в нем играет схема формирования адреса следующего управляющего слова. Функция этого устройства - формирование последовательности адресов микрокоманд под воздействием внешних управляющих сигналов, организация циклов и подпрограмм. Микропрограммная память, как уже было сказано, хранит управляющие слова. Регистр микрокоманд содержит в себе текущую микрокоманду. ПЗУК - это постоянное запоминающее устройство команды, отображающее код операции из регистра команд в начальный адрес микропрограммы в МПЗУ. Схожие функции имеет ПЗУПр - постоянное запоминающее устройство, отображающее адрес векторного прерывания в начальный адрес микропрограммы. Формирователь признаков ФП обеспечивает формирование необходимых признаков из 4-ех аппаратных признаков (нуля, переполнения, переноса, знака). Мультиплексор кода условий необходим, для осуществления выбора условия перехода подаваемого на формирователь адресов построен на схеме К155КП7.

МПЗУ реализован на 15ти схемах К558РР3 репрограммируемого ПЗУ. Данная микросхема содержит 8К слов по 1-му байту. МПЗУ мы лишаем возможности репрограммирования, подавая на соответствующие функциональные входы сигналы высокого уровня. Каждый байт этого ПЗУ адресуется 13-ю разрядами.

Рисунок 4.1 - Условное обозначение К558РР3

Таблица 4.1 - Описание выводов К558РР3

Обозначение

Описание

A0 - A12

Входы адреса

CS

Определяет режим чтения

OE

Разрешение выхода данных

PGM

Разрешение репрограммирования

ER

Разрешение чтения

DI0 - DI 7

Выходы данных

Ucc

Напряжение питания

GND

Земля

Схема формирования последовательностей адресов выполнена на базе микросхемы К1804ВУ4. Здесь мы отметим тот факт, что эта схема осуществляет адресацию 4К управляющих слов с помощью 12-ти адресных линий. Выходные линии адреса соединены непосредственно с МПЗУ. Примечательным является тот факт, что схема имеет 12-ти разрядный вход начального адреса микропрограммы и способна управлять выбором источника этого начального адреса. Так, инверсный выход МЕ указывает на то, что в данный момент времени источником начального адреса должно быть ПЗУК, т.е. происходит запуск выполнения машинной команды. Инверсный выход VE управляет выдачей начального адреса из ПЗУПр. Выход РЕ управляет выдачей начального адреса из поля регистра микрокоманд. (Точнее сказать, этот вывод соединен с входом EZDY 2-ух последних регистров К1804ИР2, на которых реализован РгМК). Так же микросхема имеет вход разрешения условия из микрокоманды CCE, который указывает, что необходимо на вход СС принять условие перехода, передаваемого из МКУ. Четыре входа I0..I3, на которые идут сигналы из РгМК, управляют выбором возможного источника начального адреса, организацией циклических процессов, управлением подпрограммами.

Регистр микрокоманд реализован на 15-ти восьми разрядных регистрах К1804ИР2. Запись и выдача данных в них всегда включена. За исключением 2-ух отельных регистров, выдачей результатов которых управляет сигнал РЕ микросхемы 1804ВУ4.

4.2 Расчет длительности такта управляющего автомата

Динамические характеристики микросхемы КМ1804ВУ4.

Время задержки распространения сигнала

Значение параметра (нс)

от I3..I0 до Y

70

от D до Y

20

от I3..I0 до VE, ME, PE

51

OE до Y

30

Динамические характеристики регистра КМ1804ИР2.

Время задержки распространения сигнала

Значение параметра (нс)

фиксации данных в регистре

5

от С до DY

43

Динамические характеристики ПЗУ К558РР3.

Время задержки распространения сигнала

Значение параметра (нс)

выборка данных

400

стирание данных

2 Ч 109

Динамические характеристики мультиплексора К155КП7.

Время задержки распространения сигнала,

Значение параметра (нс)

от А2..А0 до Y

33 - 52

от OЕ до Y

30 - 52

Динамические характеристики элемента ИЛИ-НЕ К1533ЛЕ1.

Время задержки распространения сигнала

Значение параметра (нс)

от входа к выходу

10 - 12

Произведем расчет времени задержки прохождения сигнала по цепи МВУ (К155КП7) -> СУАМ (К1804ВУ4) -> элемент ИЛИ-НЕ (К1533ЛЕ1) -> ПЗУМк (К558РР3) -> РгМк (К1804ИР2). Для подсчета задержки будем брать самые большие значения задержек на элементах:

52 + 70 + 12 + 400 + 43 = 577 нс.

Длительность процесса составила 577 нс. Это дает нам тактовую частоту 1,73 МГц.

Как видно из составляющих задержки наибольшую имеет схема ПЗУМк, так как операция чтения занимает достаточно много времени.

5. Память (ОЗУ)

5.1 Построение ОЗУ

DRAM (Dynamic Random Access Memory) -- тип энергозависимой полупроводниковой памяти с произвольным доступом.

Физически память DRAM состоит из ячеек, созданных в полупроводниковом материале, в каждой из которых можно хранить определённый объём данных, от 1 до 4 бит. Совокупность ячеек такой памяти образуют условный «прямоугольник», состоящий из определённого количества строк и столбцов. Один такой «прямоугольник» называется страницей, а совокупность страниц называется банком. Весь набор ячеек условно делится на несколько областей.

При отсутствии подачи электроэнергии к памяти этого типа происходит разряд конденсаторов, и память опустошается (обнуляется). Для поддержания необходимого напряжения на обкладках конденсаторов ячеек и сохранения их содержимого, их необходимо периодически подзаряжать, прилагая к ним напряжения через коммутирующие транзисторные ключи. Такое динамическое поддержание заряда конденсатора является основополагающим принципом работы памяти типа DRAM и называется регенерацией памяти.

В рамках курсового проектирования использовались микросхемы KM44C1000D производства компании Samsung Electronics (организация 1M х 4бит). Таким образом, использование этих микросхем позволило обойтись всего 8 корпусами для достижения необходимой конфигурации памяти. Для работы построенной памяти был выбран контроллер Renesas H8S/2377R.

Рисунок 5.1 - Условное обозначение микросхемы памяти

Таблица 5.1 - Описание выводов микросхемы ОП

Обозначение

Описание

A0 - A9

Адресные входы

DQ0 - 3

Вход/выход данных

Vss

Земля

-RAS

Строб адреса строки

- CAS

Строб адреса столбца

-W

Разрешение записи

-OE

Разрешение чтения

Vcc

Питание (+5)

Рисунок 5.2 - Структурная схема микросхемы памяти КМ44С100D.

5.2 Работа динамического ОЗУ

Адрес в микросхему вводится через контроллер, который автоматически генерирует необходимые сигналы для выбора необходимой строки и столбца. По линиям адреса вводится адрес и фиксируются. Внутри микросхемы имеются регистры-защелки для хранения адреса строки и столбца, а также регистр-защелка для фиксации данных.

Цикл обращения к памяти начинается по сигналу ¬RAS, при этом стробируется адрес строки, дешифрируется и выбирается одна из строк матрицы в регистр-защелку строки (информация в выбранной строке разрушается).

По сигналу ¬CAS адрес из линий адреса стробируется в регистр-защелку адреса столбца, который после дешифрации выбирает соответствующий элемент из регистра строки. При пассивном ¬WE (чтение) выбранный разряд появляется на входе DQ, при активном ¬WE (запись) входной сигнал DQ заменяет выбранный разряд. Цикл обращения к ОЗУ заканчивается, когда ¬RAS становится пассивным, после чего происходит перезаряд выбранной строки - копирование данных из регистра строки в соответствующую строку матрицы.

Поскольку обращения (запись или чтение) к различным ячейкам памяти обычно происходят в случайном порядке, то для поддержания сохранности данных применяется регенерация (Memory Refresh - "освежение" памяти) - регулярный циклический перебор ее ячеек (обращение к ним) с холостыми циклами. Регенерация в микросхеме происходит одновременно по всей строке матрицы при обращении к любой из ее ячеек. Максимальный период обращения к каждой строке (refresh time) для гарантированного сохранения информации у современной памяти лежит в пределах 16 мс.

Циклы регенерации могут организовываться разными способами. Для регенерации спроектированной памяти была использована регенерация без импульса ¬CAS, сокращенно именуемый ROR (RAS Only Refresh - регенерация только импульсом ¬RAS). В этом цикле для регенерации информации в одной строке адрес очередной регенерируемой строки выставляется контроллером памяти и подается сигнал ¬RAS при пассивных ¬CAS и ¬WE. По этому сигналу строка матрицы копируется в регистр-защелку строки, а по спаду ¬RAS строка восстанавливается. Порядок перебора регенерируемых строк последовательный.

Рисунок 5.3 - Временная диаграмма режима записи

Рисунок 5.4 - Временная диаграмма режима чтения

Рисунок 5.5 - Временная диаграмма режима регенерации

5.3 Контроллер ОП

Контроллер динамической памяти предназначен для полного управления работой памяти. Как описано выше, адрес памяти условно разбит на две части: адрес строк и адрес столбцов. Адреса передаются поочередно вместе со стробирующими сигналами подачи адреса. Так же контроллер условно “открывает” и “закрывает” двунаправленную шину данных. Внутри контролера находятся три основные цепи - это чтения, записи и регенерации. Цепи чтения и записи устанавливают необходимые сигналы активности входа/выхода микросхем памяти, а так же подают в необходимом порядке адрес и стробирующие сигналы. Цепь регенерации блокирует подачу сигнала строба по столбцам, а в это время активирует строб перебора по строкам и передает управление адресом на счетчик. Счетчик, который находится в цепи регенерации, необходим для перебора двоичных адресов по строкам памяти. По этим адресам из счетчика будет проводиться регенерация соответствующих строчек. Основа логики контроллера лежит на сигналах с шины. Наиболее важны сигналы индикации записи/чтения, строба адреса и синхронизации.

В рамках курсового проекта был выбран контроллер марки Renesas H8S/2377R, который выполняет все описанные выше действия.

Рисунок 5.6 - Условное графическое изображение контроллера

Таблица 5.2 - Назначение выводов контроллера

Название вывода

Описание

A0-A19

Адрес

WE

Сигнал разрешения записи

RE

Сигнал разрешения чтения

clk

Вход тактового сигнала

RAS

Сигналы выбора строки

CAS

Сигналы выбора столбца

Vcc

Напряжение питания

GND

Земля

6. Интерфейс шины процессор - память: ISA

Связь между процессором и модулем динамического ОЗУ необходимо выполнить с помощью стандартного интерфейса. Для адресации заданного объема оперативной памяти 4 МБ при четырехбайтовом слове наиболее подходящей оказалась системная магистраль ISA. Она имеет 20 разрядов адреса и 16 разрядов данных. Системная магистраль предназначена для обмена данными между центральным процессором и оперативной памятью.

Так как разрядность канала данных шины равна 16 разрядам, а длина слова ОП равна 32 разряда, то существует необходимость передачи данных через шину за два цикла. Это реализуется с помощью временного хранения половины слова ОП в регистре и последующей его передачи, по завершении передачи первой половины.

Подобным же образом организован и прием данных для записи в ОП. Сначала передается первая часть слова, которая записывается в регистр для временного хранения, затем передается вторая часть слова, после чего уже происходит запись данных.

Таблица 6.1 - Описание сигналов шины

Обозначение

Описание

SA<19..0>

Адресные сигналы шины

BALE

Сигнал разрешения на «защелкивание» адреса на шине

AEN

Разрешение адреса

SD<15..0>

Шина данных

-MEMR

Сигнал разрешения чтения памяти

-MEMW

Сигнал разрешения записи в память

-REFRESH

Сигнал информирования о том, что совершается регенерация памяти

-MASTER

Сигнал захвата устройством шины

SYSCLK

Сигнал тактовой частоты

IRQ<15..0>

Сигналы запроса прерывания

-0WS

0 тактов ожидания

Заключение

В ходе курсового проектирования был сформирован блок обработки дынных в заданном архитектурном базисе, а также - приведены чертежи его основных блоков. При проектировании были использованы различные подходы построения цифровых устройств, в случаях, когда микросхему невозможно было подобрать, применялись эквивалентные схемы, собранные на других микросхемах.

Таким образом по завершении курсового проектирования был разработан блок обработки данных в заданном структурном базисе с небольшими отступами от него, где это было необходимо. По завершении были представлены чертежи и документация, описывающая проделанную работу.

Список использованных источников

шина данная автомат интерфейс

1. Аверьянов Н. Н., Березенко А.И., Борщенко Ю.И. и др.; Под ред. Шахнова В.А. Микропроцессоры и микропроцессорные комплекты интегральных микросхем: Справочник: В 2 т. - М.: Радио и связь, 1988. - 368 с.: ил. ISBN 5-256-00371-2

2. Райхлин В.А. Основы цифровой схемотехники.: Учебное пособие для вузов. Казань: Изд-во Казан. гос. тех. ун-та, 2000. - 352 с. ISBN 5-7579-0311-2

3. Аванесян Г.Р., Левшин В.П. Интегральные микросхемы ТТЛ, ТТЛШ: Справочник. - М.: Машиностроение, 1993. - 256 с.: ил. ISBN 5-217-02604-9

4. Нефедов А.В. Интегральные микросхемы и их зарубежные аналоги: Справочник. Т. 5. - М.: КубК-а, 1997. 608 с.: ил. ISBN 5-85554-158-4

5. Интерфейсы систем обработки данных: Справочник/А.А. Мячев, В.ЯН. Степанов, В.К. Щербо; Под ред. А.А. Мячева.- М.: Радио и связь, 1989.- 416 с.

Приложение A

Рисунок A1 - структурная схема БОД

Приложение Б

Описание схемы К1804ВС2

Рисунок Б1 - Схема электрическая структурная МПС К1804ВС2

Таблица Б1 - Основные электрические параметры МПС

Таблица Б2 - назначение выводов К1804ВС2

Рисунок Б2 - функциональная схема ОА

Приложение В

Описание схемы К1804ВР1

Талица В1 - назначение выводов К1804ВР1

Таблица В2 - основные электрические параметры

Приложение Г

Описание схемы К1804ИР2

Таблица Г1 - назначение выводов К1804ИР2

Таблица Г2 - основные электрические параметры К1804ИР2

Приложение Д

Описание схемы К155КП7

Таблица Д1 - обозначение выводов К155КП7

Приложение Е

Описание схемы К155ИД3

Таблица Е1 - обозначение выводов К155ИД3

Приложение Ж

Описание схемы К1804ВУ4

Таблица Ж1 - назначение выводов К1804ВУ4

Рисунок Ж1 - общая структура УА

Описание схемы К1804ВУ4

Таблица Ж2 - основные электрические характеристики схемы

Приложение З

Таблица З1 - Динамические характеристики KM44C1000D

Размещено на Allbest.ru


Подобные документы

  • Функциональная схема и механизм работы цифрового устройства обработки данных. Синтез управляющего автомата, выбор типа триггера, описание управляющего автомата и счётчиков на языке Verilog. Процесс тестирования и моделирования управляющего автомата.

    курсовая работа [3,2 M], добавлен 05.12.2012

  • Разработка структурной и принципиальной схемы микропроцессора. Подключение шины адреса, данных и управления к соответствующим блокам на схеме. Формирование блока устройства памяти (ОЗУ и ПЗУ) и подключение его к шинам блока центрального процессора.

    контрольная работа [220,5 K], добавлен 08.07.2012

  • Синтез цифровых схем, выбор элементной базы и анализ принципов построения управляющих автоматов с жесткой логикой. Граф-схемы алгоритмов умножения и деления чисел. Создание управляющего автомата типа Мили; выбор триггера, кодирование сигналов автомата.

    курсовая работа [1,8 M], добавлен 18.09.2012

  • Структура и назначение арифметическо-логического устройства, порядок его проектирования. Выбор элементной базы, конструкции данного блока и основные требования к нему. Расчет частоты собственных колебаний блока АЛУ, оценка уровня его унификации.

    курсовая работа [1,3 M], добавлен 01.09.2008

  • Управляющий автомат и его связь с операционным автоматом. Разработка алгоритма работы управляющего автомата. Построение кодированной ПТП, синтез функций возбуждения и выходов. Реализация управляющего автомата с жесткой логикой на заданной элементной базе.

    курсовая работа [57,9 K], добавлен 29.12.2011

  • Теоретические основы процессоров. Построение процессоров и их общая структура. Цифровые автоматы. Расчёт количества триггеров и кодирование состояний ЦА. Структурная схема управляющего устройства. Построение графа функционирования управляющего устройства.

    курсовая работа [85,0 K], добавлен 08.11.2008

  • Проект устройства сбора данных (УСД), предназначеный для измерения, сбора, обработки, хранения и отображения информации с реальных объектов. Разработка блока выработки адресов каналов коммутатора. Абстрактный синтез УУ. Синтез управляющего устройства.

    курсовая работа [257,7 K], добавлен 19.06.2010

  • Составление структурной схемы автомата. Выбор элементной базы. Функциональная схема автомата. Задающий генератор и делитель частоты. Преобразователь параллельного кода в последовательный. Формирователь стартовых импульсов. Кодирование и минимизация.

    курсовая работа [3,0 M], добавлен 07.02.2013

  • Процесс разработки функциональной схемы автомата Мура для операции деления без восстановления остатка. Кодировка состояний переходов, системы логических функций, сигналов возбуждения, их минимизация. Построение функциональной схемы управляющего автомата.

    курсовая работа [868,4 K], добавлен 07.04.2012

  • Разработка прибора на основе микроконтроллера AtMega8A-16PU и микросхемы часов реального времени DS1307. Типовая конфигурация двухпроводной шины. Изготовление печатной платы автомата. Микросхемы часов реального времени. Проект блок-схемы программы.

    курсовая работа [1,1 M], добавлен 16.04.2015

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.