Разработка двоичного сумматора по модулю 13

Анализ вариантов реализации комбинационной схемы для различных типов программируемых логических интегральных схем (ПЛИС). Возможности программных пакетов Decomposer и WebPACK ISE. Описание сумматора на языке VHDL, его синтез при помощи пакета Decomposer.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 03.10.2010
Размер файла 3,0 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.


Подобные документы

  • Оптимальная схема одноразрядного двоичного сумматора с учетом заданного базиса логических элементов. Логическая схема одноразрядного десятичного сумматора. Нахождение знака переполнения. Устройство управления для многоразрядного десятичного сумматора.

    курсовая работа [2,8 M], добавлен 26.10.2011

  • Разработка алгоритма умножения, структурной схемы устройства и синтез преобразователя множителя. Логический синтез одноразрядного четверичного умножителя-сумматора и одноразрядного четверичного сумматора. Разработка, синтез и блок-схема МПА делителя.

    курсовая работа [100,0 K], добавлен 07.06.2010

  • Анализ комбинационной схемы, минимизация логической схемы и синтез комбинационного устройства в заданных базисах логических элементов И-НЕ, ИЛИ-НЕ. Разработка и применение модуля для ПЛИС Spartan6, реализующего функционирование соответствующих схем.

    курсовая работа [1,5 M], добавлен 12.02.2022

  • Логические основы работы ЭВМ. Классификация видов и параметры сумматоров. Характерные неисправности и пути их исправления. Расчёт полного одноразрядного сумматора. Определение экономической эффективности внедрения. Микроклимат рабочей зоны разработчика.

    дипломная работа [976,5 K], добавлен 24.02.2013

  • Разработка и описание принципиальной схемы дискретного устройства. Синтез основных узлов дискретного устройства, делителя частоты, параллельного сумматора по модулю два, параллельного регистра, преобразователя кодов. Генератор прямоугольных импульсов.

    курсовая работа [1,6 M], добавлен 20.05.2014

  • Обзор системы остаточных классов и основные теоретические сведения. Выбор оптимальных оснований СОК. Общая структура цифровых устройств. Разработка модулярного сумматора и умножителя, алгоритм работы и структурная схема, работа в Altera Quartus II v10.1.

    дипломная работа [4,5 M], добавлен 24.05.2013

  • История разработки и использования интегральных микросхем. Выбор элементной базы устройства. Синтез электрической принципиальной схемы: расчет усилительных каскадов на транзисторах, параметры сумматора, инвертора, усилителя, дифференциатора и интегратора.

    курсовая работа [1,9 M], добавлен 25.11.2010

  • Разработка четырехразрядного сумматора с записью результата алгебраического сложения 2-ух двоичных чисел в выходной регистр. Обратный код n-разрядного числа N. Проведение испытания с использованием симуляционного пакета программного обеспечения Analiser.

    курсовая работа [1,1 M], добавлен 10.04.2015

  • Выбор оптимальных оснований системы остаточных классов. Общая структура модулярного сумматора и умножителя, выбор их моделей. Алгоритмы функционирования управляющих устройств сумматора и умножителя. Методы повышения скорости и надежности вычислений.

    курсовая работа [625,5 K], добавлен 28.05.2013

  • Изучение полного дешифратора с прямыми и инверсионными выходами. Общая схема организации контроля по четности. Преобразователь кода Грея в двоичный код. Синтез логической схемы одноразрядного арифметического полного сумматора на основе мультиплексоров.

    реферат [28,9 K], добавлен 24.12.2010

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.