Проектирование сложного комбинационного устройства

Анализ комбинационной схемы, минимизация логической схемы и синтез комбинационного устройства в заданных базисах логических элементов И-НЕ, ИЛИ-НЕ. Разработка и применение модуля для ПЛИС Spartan6, реализующего функционирование соответствующих схем.

Рубрика Коммуникации, связь, цифровые приборы и радиоэлектроника
Вид курсовая работа
Язык русский
Дата добавления 12.02.2022
Размер файла 1,5 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

МИНИСТЕРСТВО ЦИФРОВОГО РАЗВИТИЯ, СВЯЗИ И МАССОВЫХ КОММУНИКАЦИЙ РОССИЙСКОЙ ФЕДЕРАЦИИ

Федеральное государственное образовательное бюджетное

учреждения высшего профессионального образования

Московский технический университет связи и информатики

Кафедра радиотехнических систем

КУРСОВАЯ РАБОТА

по дисциплине «Цифровые устройства и микропроцессоры»

Выполнил: студент гр. БРР1801

Ли Д.В.

Проверил: ст. преподаватель

Минаева О.Н.

Москва 2021

Цель работы: изучение маршрута проектирования сложного комбинационного устройства на ПЛИС и непосредственное его проектирование.

Задание: провести анализ комбинационной схемы, минимизировать логическую схему и синтезировать комбинационное устройство в заданных базисах логических элементов И-НЕ, ИЛИ-НЕ.

В рамках выполнения курсовой работы требуется решить следующие задачи: комбинационный устройство модуль логический

1. Определить таблицу истинности заданной схемы.

2. По полученной таблице истинности составить СДНФ и СКНФ всех логических функций, описывающих работу схемы.

3. Используя метод Квайна, минимизировать логические функции и сформировать МДНФ и МКНФ логических функций схемы.

4. Проверить МДНФ и МКНФ, получив их с помощью карт Карно.

5. Синтезировать логическое устройство в базисе И-НЕ, ИЛИ-НЕ.

6. Разработать модуль для ПЛИС Spartan6, реализующий функционирование соответствующих схем МДНФ (или МКНФ, в зависимости от варианта).

7. Провести моделирование разработанных модулей в симуляторе ISim, получить временные диаграммы, сравнить их с найденной в п.1 таблицей истинности.

8. Сформировать конфигурационные файлы ПЛИС для схемы МДНФ (или МКНФ) и получить оценки требуемых ресурсов ПЛИС.

9. Сконфигурировать ПЛИС Spartan6 и проверить работоспособность схемы.

Исходными данными для выполнения курсовой работы являются структурная схема сложного комбинационного устройства и таблица с описанием алгоритма работы каждого модуля, входящего в общую схему комбинационного устройства. Для варианта без схемы (БС) сразу задана таблица истинности устройства.

Схема №1

Исходные данные:

ДД1

ДД2

ДД3

ДД4

ДД5

ДД6

ДД7

*

-

И

ИЛИ

И-НЕ

ИЛИ

И

Рис. 1 Исходная схема комбинационного устройства

1. Таблица истинности для заданной схемы:

0

0

0

1

0

0

1

1

0

1

0

1

0

1

1

0

1

0

0

0

1

0

1

1

1

1

0

1

1

1

1

0

По таблице истинности строится совершенная дизъюнктивная нормальная форма (СДНФ):

2. Переход к сокращенной форме основан на последовательном применении операции склеивания и операции поглощения, которые осуществляются по следующим формулам:

Операции склеивания и поглощения выполняются последовательно до тех пор, пока это возможно.

Склеиваются следующие пары членов:

· 1 и 2:

· 1 и 3:

· 2 и 4

· 3 и 5:

Результат склеивания:

Для перехода к минимальной форме строим импликативную таблицу.

*

*

*

*

*

*

*

*

Импликанты и , составляют ядро, поэтому не могут быть исключены. Из сокращенной формы исключается импликант или .

3. Полученные сокращенные формы не содержат лишних членов и являются минимальными. Далее за МДНФ принимается функция (1), тогда минимальная дизъюнктивная нормальная форма (МДНФ) имеет вил:

Прямой подстановкой значений переменных , , можно убедиться, что полученная МДНФ соответствует таблице истинности заданной схемы.

4. Для проверки полученного результата минимизация проводится другим методом - с использованием карт Карно.

Области I соответствует член . Области II соответствует член . Область III можно выбрать двумя способами, этим способам соответствуют члены и . Минимальная дизъюнктивная нормальная форма может быть представлена двумя функциями, обе функции имеют вид дизъюнкции трех конъюнкций, соответствующих трем областям на карте Карно:

МДНФ, полученные различными способами, совпадают.

5. Структурная схема устройства по полученной МДНФ, согласно выражению (1):

Рис. 2 Структурная схема устройства, полученная на основе МДНФ

6. Данное комбинационное устройство синтезируется в базисе И-НЕ. Для этого дважды инвертируется полученное для МДНФ выражение, затем применяется правило де Моргана и операция И-НЕ заменяется штрихом Шеффера:

Полученное выражение в базисе И-НЕ:

7. Структурная схема логического устройства в базисе И-НЕ будет иметь вид:

Рис. 3 Структурная схема разработанного устройства, полученная на основе МДНФ, в базисе элементов И-НЕ

8. Для построения комбинационного устройства в базисе ИЛИ-НЕ составляется совершенная конъюнктивная нормальная форма (СКНФ):

Каждому члену СКНФ соответствует нулевое значение функции.

9. Переход к сокращенной форме основан на последовательном применении операции склеивания и операции поглощения, которые для выражения СКНФ осуществляются по следующим формулам:

Операции склеивания и поглощения выполняются последовательно до тех пор, пока это возможно.

Склеиваются следующие пары членов:

· 1 и 3:

После поглощения получается:

10. Полученная сокращенная форма не содержит лишних членов и является минимальной. Конъюнктивная нормальная форма (МКНФ) имеет вид:

Прямой подстановкой значений переменных , , можно убедиться, что полученная МКНФ соответствует таблице истинности заданной схемы.

11. Для проверки полученного результата проводится минимизация другим методом - с использованием карт Карно.

Области I соответствует член . Области II соответствует член . Минимальная конъюнктивная нормальная форма представляет собой конъюнкцию двух дизъюнкций, соответствующих двум областям на карте Карно:

МКНФ, полученные различными методами, совпадают.

12. Структурная схема устройства по полученной МКНФ:

Рис. 4 Структурная схема устройства, полученная на основе МКНФ

13. Данное комбинационное устройство синтезируется в базисе ИЛИ-НЕ. Для этого дважды инвертируется полученное для МКНФ выражение, затем применяется правило де Моргана и операция ИЛИ-НЕ заменяется стрелкой Пирса:

Полученное выражение в базисе ИЛИ-НЕ:

14. Структурная схема логического устройства в базисе ИЛИ-НЕ будет иметь вид:

Рис. 5 Структурная схема разработанного устройства, полученная на основе МКНФ, в базисе элементов ИЛИ-НЕ

Из структурных схем на рисунка 3 и 5 видно, что данное в задании комбинационное устройство, построенное в базисе ИЛИ-НЕ, содержит меньше логических элементов, чем то же комбинационное устройство, построенное в базисе И-НЕ. Целесообразно синтезировать устройство в базисе ИЛИ-НЕ.

15. Симуляция модуля, реализующего функционирование схемы МКНФ (рис.2), в симуляторе ISim.

Схема МДНФ синтезируется в системе автоматизированного проектирования Xilinx ISE WebPack в виде модуля, описанного на языке описания аппаратуры VHDL.

Листинг файла описывающего данный модуль:

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date: 13:57:55 04/19/2021

-- Design Name:

-- Module Name: module1 - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity module1 is

Port ( inp1: in STD_LOGIC;

inp2: in STD_LOGIC;

inp3: in STD_LOGIC;

out1: out STD_LOGIC);

end module1;

architecture Behavioral of module1 is

begin

out1<= ((not inp2) or (not inp3)) and ((not inp1) or inp2 or inp3);

end Behavioral;

Графическое обозначение описанного модуля:

Рис. 6 Графическое обозначение разработанного модуля

Для проверки правильного функционирования описанного модуля проводится его симуляция. В симуляторе ISim можно наблюдать временные диаграммы, соответствующие состояниям входных переменных и выходных переменных.

Рис. 7 Временная диаграмма в окне симулятора ISim

Рис. 8 Временная диаграмма в окне симулятора ISim

На временных диаграммах (рис. 7 и рис. 8) можно наблюдать отрезки времени, соответствующие состояниям на выходе разработанного модуля при различных комбинациях входных воздействий. Временные диаграммы данной таблице истинности соответствуют, синтезированный модуль функционирует правильно.

Схема №12

Исходные данные:

ДД1

ДД2

ДД3

ДД4

ДД5

ДД6

ДД7

-

*

ИЛИ-НЕ

ИЛИ

И

ИЛИ-НЕ

ИЛИ

Рис. 6 Исходная схема комбинационного устройства

1. Таблица истинности заданной схемы:

0

0

0

1

0

0

1

0

0

1

0

0

0

1

1

1

1

0

0

0

1

0

1

0

1

1

0

0

1

1

1

1

СДНФ записанная по таблице истинности:

Каждому члену СДНФ соответствует единичное значение функции.

2. Проводится склеивание и поглощение, для получения сокращенной формы. Склеиваются следующие пары членов:

· 2 и 3:

После поглощения получается следующая сокращенная форма:

Для данной сокращенной формы нельзя больше применить операции склеивания и поглощения. Эта функция является минимальной.

3. Для проверки полученного результата минимизация проводится другим методом - с использованием карт Карно.

Области I соответствует член . Области II соответствует член . Минимальная конъюнктивная нормальная форма представляет собой конъюнкцию двух дизъюнкций, соответствующих двум областям на карте Карно:

МКНФ, полученные различными методами, совпадают.

4. Структурная схема устройства по полученной МДНФ:

Рис. 7 Структурная схема устройства, полученная на основе МДНФ

5. Синтезируем схему в базисе И-НЕ:

Полученное выражение в базисе И-НЕ:

6. Структурная схема логического устройства в базисе И-НЕ будет иметь вид:

Рис. 8 Структурная схема разработанного устройства, полученная на основе МДНФ, в базисе элементов И-НЕ

7. Для построения комбинационного устройства в базисе ИЛИ-НЕ составляется СКНФ:

Каждому члену СКНФ соответствует нулевое значение функции.

8. Проводится склеивание и поглощение для получения сокращенной формы.

Склеиваются следующие пары членов:

· 1 и 4:

· 2 и 5:

· 3 и 4:

После поглощения получается следующая сокращенная форма:

9. Полученная сокращенная форма не содержит лишних членов и является минимальной. МКНФ имеет вид:

Прямой подстановкой значений переменных , , можно убедиться, что полученная МКНФ соответствует таблице истинности заданной схемы.

10. Для проверки полученного результата проведем минимизацию с помощью карт Карно.

Области I соответствует член . Области II соответствует член. Области III соответствует член . МКНФ представляет собой конъюнкцию двух дизъюнкций, соответствующих двум областям на карте Карно:

МКНФ, полученные различными методами, совпадают.

11. Структурная схема устройства по полученной МКНФ:

Рис. 9 Структурная схема устройства, полученная на основе МКНФ

12. Синтез комбинационного устройства в базисе ИЛИ-НЕ:

Полученное выражение в базисе ИЛИ-НЕ:

13. Структурная схема комбинационного устройства в базисе ИЛИ-НЕ будет иметь вид:

Рис. 10 Структурная схема разработанного устройства, полученная на основе МКНФ, в базисе логических элементов ИЛИ-НЕ

Из структурных схем на рисунка 8 и 10 видно, что построенные в базисах И-НЕ и ИЛИ-НЕ комбинационные устройства имеют одинаковую сложность.

14. Симуляция модуля, реализующего функционирование схемы МКНФ (рис.2), в симуляторе ISim.

Схема МДНФ синтезируется в системе автоматизированного проектирования Xilinx ISE WebPack в виде модуля, описанного на языке описания аппаратуры VHDL.

Листинг файла описывающего данный модуль:

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date: 15:18:08 04/22/2021

-- Design Name:

-- Module Name: module2 - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity module2 is

Port ( inp1: in STD_LOGIC;

inp2: in STD_LOGIC;

inp3: in STD_LOGIC;

out1: out STD_LOGIC);

end module2;

architecture Behavioral of module2 is

begin

out1 <= ((not inp1) and (not inp2) and (not inp3)) or (inp2 and inp3);

end Behavioral;

Графическое обозначение описанного модуля:

Рис. 11 Графическое обозначение разработанного модуля

Для проверки правильного функционирования описанного модуля проводится его симуляция. В симуляторе ISim можно наблюдать временные диаграммы, соответствующие состояниям входных переменных и выходных переменных.

Рис. 12 Временная диаграмма в окне симулятора ISim

Рис. 13 Временная диаграмма в окне симулятора ISim

На временных диаграммах (рис. 11 и рис. 12) можно наблюдать отрезки времени, соответствующие состояниям на выходе разработанного модуля при различных комбинациях входных воздействий. Временные диаграммы данной таблице истинности соответствуют, синтезированный модуль функционирует правильно.

Без схемы №22

Исходные данные:

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

0

0

1

1

0

0

1

0

0

0

0

1

0

1

0

0

1

1

0

0

0

1

1

1

1

1

0

0

0

1

1

0

0

1

0

1

0

1

0

1

1

0

1

1

1

1

1

0

0

0

1

1

0

1

0

1

1

1

0

1

1

1

1

1

1

1. СДНФ для данной таблицы истинности имеет вид:

Каждому члену СДНФ соответствует единичное значение функции.

2. Проведем склеивание и поглощение, получим сокращенную форму.

Склеиваются следующие пары членов:

· 1 и 6:

· 2 и 3:

· 3 и 4:

· 3 и 5:

· 4 и 6:

· 5 и 6:

После поглощения получается выражение:

Проводится второй этап склеивания. Склеиваются следующие пары членов:

· 3 и 6:

· 4 и 5:

В результате склеивания появляются парные (одинаковые) слагаемые, но не все слагаемые поглощаются после склеивания. После поглощения получаем сокращенную форму:

3. Полученная сокращенная форма не содержит лишних членов, операции склеивания и поглощения к этому выражению не применимы. Эта форма является минимальной:

Прямой подстановкой значений переменных , , , можно убедиться, что полученная МДНФ соответствует заданной таблице истинности.

4. Для проверки полученного результата проведем минимизацию с помощью карт Карно.

Области I соответствует член . Области II соответствует член . Области III соответствует набор 1*0*1 или член . МДНФ представляет собой дизъюнкцию трех конъюнкций, соответствующих трем областям на карте Карно:

МДНФ, полученные различными способами, совпадают

5. Структурная схема устройства по полученной МДНФ:

Рис. 11 Структурная схема устройства, полученная на основе МДНФ

6. Синтез устройства в базисе И-НЕ:

Полученное выражение в базисе И-НЕ:

7. Структурная схема логического устройства в базисе И-НЕ будет иметь вид:

Рис. 12 Структурная схема разработанного устройства, полученная на основе МДНФ, в базисе элементов И-НЕ

8. Для построения комбинационного устройства в базисе ИЛИ-НЕ составляется СКНФ:

Каждому члену СКНФ соответствует нулевое значение функции.

9. Проводится склеивание и поглощение для получения сокращенной формы.

Склеиваются следующие пары членов:

· 1 и 2:

· 1 и 3:

· 1 и 5:

· 2 и 4:

· 2 и 6:

· 2 и 8:

· 3 и 4:

· 3 и 7:

· 5 и 6:

· 5 и 7:

· 5 и 9:

· 6 и 10:

· 8 и 10:

· 8 и 10:

Так как все множители СКНФ участвуют в склеивании, то после поглощения получаем:

Проведем второй этап склеивания. Склеиваются следующие пары членов:

· 1 и 7:

· 1 и 9:

· 2 и 4:

· 2 и 10:

· 3 и 5:

· 3 и 8:

· 5 и 13:

· 6 и 12:

· 9 и 14:

· 11 и 12:

Все множители участвуют в склеивании, и в результате склеивания появляются парные (одинаковые) множители, после поглощения получаем сокращенную форму (множителя в итоговом произведении не будет т.к. 1 и 9, 3 и 5 члены СКНФ поглощаются множителями и , и соответственно):

10. Полученная сокращенная форма не содержит лишних членов и является минимальной, следовательно МКНФ имеет вид:

Прямой подстановкой значений переменных , , , можно убедиться, что полученная МКНФ соответствует заданной таблице истинности.

11. Для проверки полученного результата проводится минимизация с помощью карт Карно.

Области I соответствует член . Области II соответствует член Области III соответствует член . Области IV соответствует член . МКНФ представляет собой конъюнкцию четырех дизъюнкций, соответствующих трем областям на карте Карно:

МКНФ, полученные различными методами, совпадают.

12. Синтез схемы в базисе ИЛИ-НЕ:

Полученное выражение в базисе ИЛИ-НЕ:

13. Структурная схема устройства по полученной МКНФ:

Рис. 13 Структурная схема устройства, полученная на основе МКНФ

14. Структурная схема логического устройства в базисе ИЛИ-НЕ будет иметь вид:

Рис. 14 Структурная схема разработанного устройства, полученная на основе МКНФ, в базисе элементов ИЛИ-НЕ

Из структурных схем на рисунка 12 и 14 видно, что построенное в базисе И-НЕ комбинационное устройство содержит больше логических элементов, чем комбинационное устройство, реализующее ту же функцию алгебры логики построенное в базисе ИЛИ-НЕ. Данное функцию целесообразно синтезировать в базисе ИЛИ-НЕ.

15. Симуляция модуля, реализующего функционирование схемы МДНФ (рис.11), в симуляторе ISim.

Схема МДНФ задается в САПР Xilinx ISE в виде модуля, описанного на языке описания аппаратуры VHDL.

Листинг файла описывающего данный модуль:

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date: 03:50:19 04/20/2021

-- Design Name:

-- Module Name: kursmodule - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity kursmodule is

Port ( inp1: in STD_LOGIC;

inp2: in STD_LOGIC;

inp3: in STD_LOGIC;

inp4: in STD_LOGIC;

out1: out STD_LOGIC);

end kursmodule;

architecture Behavioral of kursmodule is

begin

out1<= (inp2 and inp3 and inp4) or (inp1 and inp3) or (inp1 and (not inp2) and (not inp4));

end Behavioral;

Описанный модуль имеет следующее графическое обозначение в редакторе схем:

Рис. 15 Графическое обозначение разработанного модуля

Для проверки правильного функционирования описанного модуля проводится его симуляция. В симуляторе ISim можно наблюдать временные диаграммы, соответствующие состояниям входных переменных и выходных переменных.

Рис. 16 Временная диаграмма в окне симулятора ISim

Рис. 17 Временная диаграмма в окне симулятора ISim

Временные диаграммы данной таблице истинности соответствуют, синтезированный модуль функционирует правильно.

Вывод

В рамках данной курсовой работы были изучены этапы проектирования комбинационных устройств, методы минимизации функций алгебры логики, порядок синтеза устройств на базе логических элементов И-НЕ и ИЛИ-НЕ, а также работа в САПР Xilinx ISE. Симуляция в Xilinx ISE спроектированных комбинационных устройств показывает, что данные устройства требуемые функции выполняют.

Используемая литература

1. Лобов Е.М., Терешонок М.В.. Цифровые устройства и микропроцессоры: учебно-методическое пособие. М.: ООО «Брис-М», 2015. 36 с.

2. Тарасов И.Е., Разработка цифровых устройств на базе ПЛИС Xilinx с применением языка VHDL, М., Горячая линия-Телеком, 2005. 252 с.

Размещено на Allbest.ru


Подобные документы

  • Синтез комбинационных схем. Построение логической схемы комбинационного типа с заданным функциональным назначением в среде MAX+Plus II, моделирование ее работы с помощью эмулятора работы логических схем. Минимизация логических функций методом Квайна.

    лабораторная работа [341,9 K], добавлен 23.11.2014

  • Разработка топологии базисных элементов и цифрового комбинационного устройства в целом в программе Microwind. Моделирование базисных логических элементов и функциональная схема демультиплексора. Схемотехническое проектирование цифрового устройства.

    курсовая работа [1,7 M], добавлен 27.02.2012

  • Синтез цифрового устройства управления в базисах мультиплексоров, логических элементов Шеффера и Пирса. Схемотехническое моделирование синтезированных схем. Оценка работоспособности полученных моделей с индикацией заданных значений логической функции.

    курсовая работа [382,8 K], добавлен 29.05.2013

  • Канонические формы представления логической функций. Сущность методов минимизации Квайна, Квайна-Мак-Класки и карт Вейча, получение дизъюнктивной и конъюнктивной форм. Модели цифрового комбинационного устройства с помощью программы Electronics Workbench.

    курсовая работа [416,4 K], добавлен 28.11.2009

  • Проектирование цифровых и логических схем, как основных узлов судовых управляющих и контролирующих систем. Основные компоненты структурной схемы и алгоритм функционирования цифрового регистрирующего устройства. Синтез и минимизация логических схем.

    курсовая работа [31,0 K], добавлен 13.05.2009

  • Методика составления и минимизации логических функций. Синтез комбинационного устройства на логических элементах и мультиплексоре. Логическая функция в виде СДНФ, преобразование функции в минимальный базис ИЛИ-НЕ. Проектирование устройства с памятью.

    курсовая работа [964,1 K], добавлен 27.09.2012

  • Основные инструменты анализа и синтеза цифровых устройств. Синтез комбинационного устройства, реализующего заданную функцию. Минимизация переключательных функций с помощью карт Карно. Общие правила минимизации функций. Дешифратор базиса Шеффера.

    контрольная работа [540,0 K], добавлен 09.01.2014

  • Структурная схема логического (комбинационного) блока, реализующего функции F1, F2, F3. Карта Карно, построение схемы электрической функциональной. Реализация функции F1 на мультиплексоре. Компьютерное моделирование, компоненты Electronics Workbench.

    курсовая работа [831,7 K], добавлен 23.09.2013

  • Предпосылки к развитию ПЛИС. Сравнительный анализ ПЛИС, СБИС и микроконтроллеров. Обзор аналогов: компараторы LM311 и LM339, на операционных усилителях, Р300Х, сравнительные устройства. Создание схемы устройства. Сравнение мировых производителей ПЛИС.

    курсовая работа [2,0 M], добавлен 11.07.2011

  • Требования к блочным шифрам. Основные операции, используемые в блочных шифрах. Синтез схемы логического устройства, реализующего операцию перестановки. Разработка структурной схемы одного раунда шифрования. Синтез логической схемы блока управления.

    курсовая работа [1,6 M], добавлен 16.02.2012

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.