Исследование процессов 3D-структурирование в электронной литографии

Электронные резисты и их применение для 3D-структурирования. Исследование зависимости поглощенной дозы от способа экспонирования и плотности тока ("макс-эффект"). Совершенствование методов и процессов 3D-структурирования с помощью электронной литографии.

Рубрика Программирование, компьютеры и кибернетика
Вид диссертация
Язык русский
Дата добавления 31.07.2012
Размер файла 9,9 M

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

После приравнивания получаем максимальный коэффициент увеличения дозы коэффициент Ti/T0 зависит от отношения Tm/T0.

, (4.4)

Причем при T0/Tm?1 необходимое увеличение дозы при росте плотности тока J стремится к бесконечности вместе с плотностью тока. При малых значениях чувствительности резиста, T0/Tm<1 необходимое увеличение дозы экспонирования быстро выходит на константу.

4.3 Экспериментальное нахождение параметров «Макс-эффекта»

Для экспериментального нахождения параметров «макс-эффекта» система уравнений (4.2) была рассмотрена для случая N=2, J1=J, J2=0, t1=0, t2=T/J, т.е. экспонирование осуществлялось за один раз. В этом случае

. (4.5)

Далее необходимо найти дозу экспонирования T, соответствующую случаю бесконечно малой плотности тока T0 и приравнять ее с дозой из (4.6), что даст следующее выражение

. (4.6)

Путем несложных преобразований неявное уравнение (4.6) преобразовывается к виду

. (4.7)

Из которого итерациями T1= T0

,л=1,2, (4.8)

Можно быстро получить решение T(J,m,Jm,T0). Обычно хватает 10 итераций для достижения относительной точности в 10-5.

Для нахождения параметров эффекта была спроектирована и проэкспонирована тестовая структура. Она представляла собой массив 10x10 точек, которые экспонировались (снизу вверх и слева направо) так, что доза каждой последующей точки уменьшалась на 0.6% по сравнению с предыдущей. В результате доза экспонирования последней точки составляла 40.4% от дозы экспонирования первой. Расстояние между соседними точками 40мкм. Электронный пучок был расфокусирован в круг диаметром, приблизительно, 18мкм. Экспонирование осуществлялось при следующих условиях: ускоряющее напряжение 25кВ, кремниевая подложка, резист ПММА 950K A4 толщиной 0.5мкм, проявитель МЭК:ИПС 1:3. Такая структура экспонировалась при разных токах (от 0.5нА до 10нА). В результате получились структуры, две из которых, для плотностей токов J=1.2А/м2 и J=32А/м2 изображены на рис.29а и рис.29б соответственно.

Диаметр экспонирующего луча определялся по диаметру проявившегося круга получившихся структур. Время экспонирования для каждого тока пересчитывалось так, что в результате все структуры экспонировались с одинаковой дозой. Выбирая одинаково проявленные (по цвету) точки в структурах, экспонированных с разными токами, как показано на рис.29, был получен набор доз экспонирования T и плотностей тока J, при которых поглощенная доза B (плотность разрывов) остается одинаковой.

Эти экспериментальные данные и подгоночная кривая, аппроксимирующая их на основе уравнения.

В результате аппроксимации экспериментальных данных были получены параметры модели. Обнаружено, что время релаксации промежуточных состояний в отсутствие тока m = 385мс, а характерная для модели плотность тока Jm=8.57А/м2, а также произведение этих двух параметров, характерную чувствительность модели Tm=3.31Кл/см2. Необходимо отметить, что время экспонирования точки электронного резиста ПММА обычно составляет миллисекунды. Поэтому формирование плотности окончательных разрывов молекул (поглощенной дозы) происходит при отсутствии облучения. Из этого факта вытекает заключение, что ожидаемый сильный нагрев резиста в процессе экспонирования для сильноточных литографических машин может не оказывать большого влияния на плотность разрывов. В самом деле, генерируемая концентрация промежуточных состояний b определяется отношением T/Tm и не зависит от температуры (Tm =mJm=1/K2). Поскольку время остывания резиста и подложки (нагрев которых может происходить при экспонировании) составляет микросекунды, то зависящая от температуры константа K3, определяющая релаксацию промежуточных состояний b (переход b>B) , быстро принимает начальное значение. Поэтому переход b>B в основном происходит при обычной температуре.

Зная характерную чувствительность модели Тm, с помощью формул (4.3) и (4.4), были определены пределы изменения плотности разрывов при заданной дозе экспонирования Т, Bi/B0=0.84 и максимальный коэффициент увеличения дозы, Ti/T0=1.26. Получившиеся величины хоть и заметны, но не так велики, и в случае двумерных структур не окажут большого влияния на результат проявления. Однако, в случае экспонирования 3D-структур влияние «макс-эффекта» может быть значительным. Действительно применив формулу (1.5) для скорости проявления резистов к двум случаям, когда плотность тока экспонирования стремиться к нулю, и когда она бесконечна, а затем, найдя их отношение, получится

, (4.9)

где vi и v0 скорости проявления электронного резиста проэкспонированного с бесконечной и стремящейся к нулю плотностью тока. В силу того, что количество окончательных разрывов пропорционально поглощенной дозе, т.е. B0/Bi=D0/Di, то для ПММА 950К формула (4.9) с учетом контрастности определенной в третьей главе даст

. (4.10)

В итоге получается, что в предельном случае при одинаковой дозе экспонирования скорость проявления может отличаться более чем в три раза. Т.е. при экспонировании 3D-структур «макс-эффект» может значительно повлиять на результат проявления и значит, требует учета при их проектировании.

ГЛАВА 5. НОВЫЙ ПОСЛОЙНЫЙ МЕТОД 3D-СТРУКТУРИРОВАНИЯ И СОЗДАНИЕ ОПТИЧЕСКИ АКТИВНЫХ СТРУКТУР

5.1 Описание метода

Электронный резист является диэлектриком прозрачным в видимом и ближнем инфракрасном спектре. Значит такие 3D-структуры можно использовать как фотонные кристаллы. В основе этого метода лежит давно известная в электронной литографии система двухслойных резистов. В такой системе на подложку сначала наносится резист с высокой чувствительностью, а затем с более низкой. После экспонирования, осуществляемого с дозой, равной чувствительности верхнего резиста, и проявления нижний слой подтравливается. Это связано с эффектом близости, идет экспонирование резиста за счет обратно отраженных электронов. В результате у такой двухслойной системы получается отрицательный профиль, что очень удобно для взрывной литографии. Но это свойство двухслойных систем можно использовать для создания 3D-структур. Если проэкспонировать точку, то получится структура с профилем, показанным на рис.6а, которую условно можно назвать «пузырем» (рис.31а). Благодаря возможностям электронной литографии, из таких «пузырей» можно создавать различные структуры. В том числе, создав из них периодическую решетку, можно получить двумерный фотонный кристалл. Далее требуется метод позволяющий создавать слои «пузырей» друг над другом, так чтобы в итоге получилась трехмерная периодическая структура. Другими словами, необходимо решить две основные задачи:

а) создание второго слоя с «пузырями» уже над существующим,

б) совмещение создаваемого слоя «пузырей» с уже существующими.

Проблема совмещения была решена стандартным для электронной литографии путем. Первым шагом на подложке с помощью взрывной литографии создаются маркерные знаки, а именно, четыре золотых креста. Затем их можно использовать для совмещения слоев пузырей. Только необходимо при создании каждого слоя экспонировать и проявлять участки резиста над золотыми крестами. Это необходимо, т.к. под толстым слоем резиста маркерные знаки плохо различимы в электронный микроскоп. Решение первой проблемы более сложное. Если, просто создав один слой «пузырей», попытаться нанести на него двухслойный резист, то «пузыри» в нем будут разрушены из-за наличия растворителя (обычно анисоль или хлорбензол) в наносимом резисте. Поэтому необходимо разработать метод позволяющий стабилизировать уже готовый слой «пузырей». Далее после нанесения на стабилизированный слой «пузырей» двухслойного резиста нужно осуществить сушку при температуре выше точки стеклования, что приведет к затеканию резиста через дырки в «пузыри». Т.е. необходимо закрыть все дырки еще до нанесения нового двухслойного резиста.

Проблема стабилизации слоя «пузырей» была решена, опираясь на свойства полимерных резистов. Двухслойная система резистов состояла из ПММА 950К в качестве верхнего слоя, и ЭРП-40 (тоже ПММА, но с меньшей молекулярной массой) в качестве нижнего. Известно, что ПММА при экспонировании с дозой гораздо больше, чем его чувствительность зашивается, т.е. проявляет свойство негативного резиста. В зашитом состоянии он не поддается действию растворителя и даже не растворяется в ацетоне. Значит, для стабилизации резиста его необходимо перевести в зашитое состояние. Было установлено что доза необходимая для того, чтобы перевести ПММА в зашитое состояние составляет порядка 4500мкмКл/см2. Для того чтобы закрыть дырки в верхнем слое резиста по верх стабилизированного слоя пузырей необходимо нанести тонкий слой резиста и зашить его, пропустив процесс сушки. Сушка в основном необходима для удаления растворителя из резиста, что улучшает его литографические свойства, необходимость в которых в данном случае отсутствует. При нанесении тонкого слоя резиста на центрифуге «пузыри» не заливаются из-за высокой вязкости резиста. В итоге после нанесения и стабилизации тонкого слоя резиста получается стабилизированный слой «пузырей» без дырок в верхнем резисте. Следующий этап - это создание следующего слоя пузырей, где все выше описанные операции повторяются снова. Так послойно строится периодическая 3D-структура. На рис.31б показан скол двухслойной периодической структуры, полученный таким образом.

Далее метод был модернизирован, что позволило создавать «пузыри» различной формы и точнее контролировать их размеры. Модернизация заключалась в том, что экспонирование двухслойного резиста проходило в два этапа. На первом этапе экспонировалась точка с дозой, равной чувствительности верхнего резиста. На втором этапе экспонировалась фигура, которая определяла форму пузыря, с дозой, равной чувствительности нижнего резиста. Чувствительность ПММА 950К в три раза хуже, чем у ЭРП-40. При проявлении в верхнем резисте проявится только дырка, а фигура в нем почти не проявится. Действительно, по формуле (1.х) можно оценить отношение скоростей проявления верхнего и нижнего слоев резиста, проэкспонированных с дозой равной чувствительностью нижнего слоя. Тогда учитывая, что контрастность ПММА 950К порядка семи получится, что

, (5.1)

где v0 скорость проявления нижнего слоя (ЭРП-40), vupper - скорость проявления верхнего слоя, а Dlower и Dupper их чувствительности. В нижнем слое резиста через отверстие в верхнем резисте проявится фигура заданной формы.

5.2 Примеры структур

С помощью этого послойного метода были созданы 3D периодические структуры с гексагональным типом решетки с максимальным количеством слоев с пузырями 12 и периодами от 0.5 до 6 мкм. Они исследовались на наличие запрещенных зон в спектре пропускания для инфракрасного диапазона длин волн. Однако, спектры пропускания не показали особенностей, показывающих наличие запрещенной зоны. Это, по всей видимости, вызвано несовершенством структур.

Применение нового метода 3D-структурирования не ограничивается созданием трехмерных периодических структур. Благодаря возможности создания полостей различной формы в нижнем слое резиста с его помощью можно создавать структуры для микрофлюидики. Еще одна полезная структура созданная с помощью нового метода и представляет собой элемент конфокального коллиматора. Такой коллиматор используется при конфокальной рентгено-флуоресцентной томографии. Сфокусированный рентгеновский луч облучает маленький объем образца, что вызывает флуоресценцию облучаемого микрообъема. Затем флуоресцентное излучение собирается конфокальным коллиматором и попадает на энерго-дисперсионный детектор. Наибольшее количество излучения собирается тогда, когда фокус коллиматора совпадает с облучаемым микрообъемом. Элемент коллиматора, изображенный на рис.33б, состоит из полостей длиной в миллиметр, а шириной 2мкм в нижнем слое резиста. Если мысленно продолжить эти полости дальше, то они пересекутся в одной точке. Для получения полости точки, через которые вымывался резист из нижнего слоя, создавались через каждые 10мкм.

5.3 Фотонные структуры на основе резиста с центрами люминесценции

На основе резиста можно делать не только оптически пассивные, как предложено в первой части, но и оптически активные структуры.

Достижению этих целей должно способствовать добавление в резист центров фотолюминесценции, например, красителя родамин 6G.

Такая композиция из резиста и центров люминесценции не теряет литографических свойств. Соответственно с помощью электронной литографии можно создавать различные, в том числе периодические структуры. Это значит что, такой материал можно использовать в создании 3D фотонных структур, методом, предложенным в первой части главы.

Особый интерес вызывают фотонные структуры, обладающие полной запрещенной зоной. Основными параметрами определяющие наличие полной запрещенной зоны и ее ширину в фотонном кристалле является контраст (отношение коэффициентов преломления материалов составляющих фотонный кристалл) и типом решетки (чем выше порядок симметрии решетки, тем шире полная запрещенная зона). Как известно, наибольший порядок симметрии, которым может обладать кристаллическая, решетка равен шести, а коэффициент преломления резиста достаточно мал (порядка 1.5), поэтому, как показывают расчеты, невозможно в такой среде создать 2D и 3D фотонные кристаллы с полной запрещенной зоной.

Существует класс объектов, называемых квазикристаллами, и не имеющих ограничения на порядок симметрии. В отличие от кристаллов, обладающих дальним порядком двух типов, трансляционным и вращательным, квазикристаллы обладают только вращательным. Отсутствие трансляционной симметрии снимает ограничения на порядок вращательной симметрии, однако, ставит задачу расчета узлов квазикристалла.

Основными методами расчета узлов квазикристалла являются метод де Брауна и проекционный метод.

Опираясь на проекционный метод построения квазикристаллов, была разработана программа, рассчитывающая координаты точек квазикристалла. Используя эти координаты, в резисте были созданы двумерные квазикристаллические структуры с осью симметрии 10 порядка.

В пленке резиста с Родамин 6G толщиной 0.8мкм на стекле с 20нм слоем алюминия были созданы периодические структуры, имеющие треугольный тип решетки, (с периодами 0.4мкм, 0.48мкм, 0.52мкм и 0.56мкм) и квазикристаллическая структуры с плотностью отверстий на квадратный микрон равной 6.25/(0.8*0.8).

Следует отметить, что свет ртутной лампы проходил через светофильтры СС4 и СС5-2. Спектры люминесценции показывают усиление люминесценции в несколько раз, как на периодических структурах, так и на квазикристаллах.

Это может свидетельствовать об увеличении оптической плотности состояний у исследуемых структур по сравнению с пленкой.

ЗАКЛЮЧЕНИЕ

В ходе выполнения данной работы были разработаны метод определения контрастности электронных резистов и послойный метод 3D- структурирования с помощью электронной литографии. Также были проведены исследования зависимости контрастности резистов от условий проявления, влияния плотности тока и последовательности экспонирования на скорость проявления электронных резистов. Осуществлены исследования спектров фотолюминесценции фотонных структур в резисте с добавленным в него красителем. Основные выводы сформулированы следующим образом:

1. Разработан новый оптический метод быстрого и точного определения эффективной контрастности электронных резистов с помощью специальной тестовой структуры. Из экспериментальной зависимости контрастности позитивного резиста от температуры проявителя получено, что незначительное увеличение температуры ведет к значительному уменьшению контрастности.

2. Экспериментально обнаружен новый эффект - зависимость скорости проявления электронного резиста от последовательности экспонирования и плотности тока («макс-эффект»). Для его описания была создана феноменологическая модель, позволившая установить, что скорость проявления участков резиста может отличаться в три раза при одинаковой дозе экспонирования.

3. Для получения периодических трехмерных структур предложен новый послойный метод 3D-структурирования на основе электронной литографии. С его помощью были созданы структуры с периодом от 0.5 до 6 микрон и максимальным количеством слоев 12. Этот метод также расширил возможности электронной литографии для создания приборов оптоэлектроники, в частности, с его помощью были созданы элементы конфокального коллиматора.

4. В пленках резиста с красителем родамином 6G были созданы двумерные фотонные кристаллы с разным периодом, а также квазикристаллы. Исследование спектров фотонных структур показало увеличение интенсивности фотолюминесценции на структурах по сравнению с исходной пленкой.

Данная работа была выполнена в Институте проблем технологии микроэлектроники и особочистых материалов РАН при помощи и содействии коллектива сотрудников, которым я приношу свою искреннюю благодарность.

Отдельно мне хотелось бы поблагодарить коллектив лаборатории «Физики и технологии мезоскопических структур», сотрудником которой я являюсь, и в особенности Фирсова Анатолия Александровича, а также её бывшего руководителя Дубоноса Сергея Валентиновича. Еще хотелось бы выразить свою благодарность Свинцову Александру Александровичу за плодотворное сотрудничество, Якимову Евгению Евгеньевичу за помощь при оптических измерениях, и конечно, моему научному руководителю Зайцеву Сергею Ивановичу.

ЛИТЕРАТУРА

1. C. A. Deckert and D. A. Peters. “Optimization of thin film wetting and adhesion behavior”// Thin solid films, vol. 68 Issue 2, p. 417-420 (1980).

2. K. Ueberreiter. “Diffusion in Polymers”// edited by J. Crank and G. Park, “Academic Press”, New York, , Chapter 5, p. 219-257 (1968).

3. K. Ueberreiter and F. Asmussen. “Velocity of dissolution of polystyrene”// J. Pol. Sci., vol. 23 Issue 103 , p. 75-81 (1957).

4. K. Ueberreiter and F. Asmussen. “Velocity of dissolution of polymers. Part I”// J. Pol. Sci., vol. 57 Issue 165, p. 187-198 (1962).

5. K. Ueberreiter and F. Asmussen. “Velocity of dissolution of polymers. Part II”// J. Pol. Sci., vol. 57 Issue 165, p. 199-208 (1962).

6. “Handbook of Microlithography, Micromachining and Microfabrication”// edited by P. Rai-Choudhury, SPIE, Chapter 2, (1997).

7. У. Моро, “Микролитография. Принципы, методы, материалы”// «Мир», стр. 135, 622 (1990).

8. С. Н. Никифорова-Денисова, Е. Н. Любушкин. «Термические процессы»// «Высшая школа», стр. 40-43 (1989).

9. I. Haller, M. Hatzakis, R. Srinivasan. "High-resolution positive resists for electron-beam exposure"// IBM J. Res. Develop., vol. 12, p. 251-256 (1968).

10. B. P. Van der Gaag, A. Sherer. "Microfabrication below 10nm"// Appl. Phys. Lett., vol. 56 №5, p. 481-483 (1990).

11. D. W. Keith, R. J. Soave, M. J. Rooks. "Free-standing gratings and lenses for atom optics"// Journal of Vacuum Science & Technology B, vol. 9 №6, p. 2846-2850 (1991).

12. W. C. B. Peatman, P. A. D. Wood, D. Porterfield, T. W. Crowe, M. J. Rooks. "Quarter-micrometer GaAs Schottky barrier diode with high video responsivity at 118 m"// Appl. Phys. Lett., vol.61 Issue 3, p. 294-296 (1992).

13. R. C. Tiberio, G. A. Porkolab, M. J. Rooks, E. D. Wolf, R. J. Lang, A. Larsson, S. Forouhar, J. Cody, G. W. Wicks, T. Erdogan, O. King, and D. G. Hall. "Facetless Bragg reflector surface-emitting AlGaAs/GaAs lasers fabricated by electron-beam lithography and chemically assisted ion-beam etching"// Journal of Vacuum Science & Technology B, vol. 9 №6, p. 2842-2845 (1991).

14. T. Tada. "Highly sensitive positive electron resists consisting of halogenated alkyl -chloroacrylate series polymer materials"// J. Electrochem. Soc., vol. 130 Issue 4, p. 912-917 (1983).

15. K. Nakamura, S. L. Shy, C. C. Tuo, C. C. Huang, "Critical dimension control of poly-butene-sulfone resist in electron beam lithography"// Jpn. J. Appl. Phys., vol.33, p. 6989-6992 (1994).

16. M. Widat-alla, A. Wong, D. Dameron, C. Fu, "Submicron e-beam process control"// Semiconductor International, p. 252 (1988).

17. Shibing Long , Zhigang Li; Xinwei Zhao, Baoqin Chen, Ming Liu. “Process study of ZEP520 positive electron-beam resist and its application in single-electron transistor”// Proceedings of the SPIE, vol. 5645, p. 255-266 (2005).

18. K. Kurihara, K. Iwadate, H. Namatsu, M. Nagase, H. Takenaka, K. Murase. "An electron beam nanolithography system and its application to Si nanofabrication"// Jpn. J. Appl. Phys., vol.34, p. 6940-6946 (1995).

19. T. Nishida, M. Notomi, R. Iga, T. Tamamura. "Quantum wire fabrication by e-beam lithographyusing high-resolution and high-sensitivity e-beam resist ZEP-520"// Jpn. J. Appl. Phys. B, vol. 31 Partt 1 № 12, p. 4508-4514 (1992).

20. J. Pacansky, R. J. Waltman. "Solid-state electron beam chemistry of mixtures of diazoketones in phenolic resins: AZ resists"// J. Phys. Chem., vol. 92 № 15, p. 4558-4565 (1988).

21. M. Kurihara, M. Komada, H. Moro-oka, N. Hayashi, H. Sano, "EBR900 processes in e-beam and laser beam lithographies for photomask production"// Proceedings of the SPIE, vol. 2437, p. 240 (1995).

22. A. E. Novembre, R. G. Tarascon, O. Nalamasu, L. Fetter, K. J. Bolan, C. S. Knurek. "Electron-beam and x-ray lithographic characteristics of the optical resist ARCH"// Proceedings of the SPIE, vol. 2437, p. 104 (1995).

23. D. Macintyre. S. Thoms. "High resolution electron beam lithography studies on Shipley chemically amplified DUV resists"// Microelectronic Engineering, vol. 35 Issues 1-4, p. 213-216 (1997).

24. P. D. Blais. “Edge acuity and resolution in positive type photo-. resist systems”// Solid-state Technol., vol. 20, p. 76-79 (1977).

25. H. Frish. “Sorption and transport in glassy polymers-a review”// Polym. Eng. Sci., vol. 20 Issue 1, p. 2-13 (1980).

26. S. Chen and J. Edin. “Fickian diffusion of alkanes through glassy polymers: Effects of temperature, diffusant size, and polymer structure”// Polym. Eng. Sci., vol. 20, p. 40-50 (1980).

27. G. Park. “Diffusion in Polymers”// edited by J. Crank and G. Park, Academic Press, New York, Chapter 5, p. 140-162 (1968).

28. L. Thomas and J. Windle. “A theory of case II diffusion”// Polymer, vol. 23 Issue 4, p. 529-542 (1982).

29. F. Billmeyer. “Textbook of Polymer Science”// “Wilcv”, New York, p. 33, 74, 84 (1971).

30. D. Kim, W. Oldham, and A. Neureuther. ”Development of Positive Photoresist”// IEEE Trans. Electron Devices, vol. 31 Issue 12, p. 1730-1736 (1984).

31. B. Grant, N. Clecak, R. Tweig, and G. Wilson. “Deep UV photoresists I. Meldrum's diazo sensitizer”// IEEE Trans Electron Devices, vol.28 Issue 11, p. 1300-1305 (1981).

32. L. Rebenfeld, P. J. Makarewicz, H. D. Weigmann, G. L. Wilkes. “Interactions between solvents and polymers in the solide state”// J. Macromol. Sci. C, vol. 15 №2, p. 279-393 (1976).

33. L. Lapick and L. Valko. “Kinetic study of dissolution of poly(vinyl chloride) in cyclohexanone”// J. Polym. Sci., vol. 9 Issue 4, p. 633-643 (1971).

34. S. Ju, H. Lu, J. Duda, and J. Vrentas. “Solvent diffusion in amorphous polymers”// Appl. Polym. Sci., vol. 26 Issue 11, p. 3735-3744 (1981).

35. O. Aboul-Nasr and R. Huang.”Diffusivity and solubility of organic vapors in modified polyethylene films. I. Technique and analysis of results”// Appl. Polym. Sci., vol. 23 Issue 6, p. 1819-1831 (1979).

36. J. Vrentas, H. Lu, and J. Duda. ”Effect of solvent size on diffusion in polymer-solvent systems”// J. Appl. Polym. Sci., vol. 25 Issue 8, p. 1793-1797 (1980).

37. E. Gipstein, A. Ouano, D. Johnson, and O. Need. ”Parameters Affecting the Electron Beam Sensitivity of Poly(methyl methacrylate)”// IBM J. Res. Dev., vol. 21 Issue 2, p. 143-153 (1977).

38. D. Kyser and R. Pyle. “Computer Simulation of Electron-Beam Resist Profiles”// IBM J. Res. Dev., vol. 24 Issue 4, p. 426-437 (1980).

40. J. Greeneich. “Solubility Rate of Poly-(Methyl Methacrylate), PMMA, Electron-Resist”// J. Elcctrochem. Soc., vol. 121 Issue 12, p. 1669-1671 (1974).

41. M. Ballauf and B. Wolf. “Degradation of chain molecules. 1. Exact solution of the kinetic equations”// Macromolecules, vol. 14, p. 654-658 (1981).

42. M Gazard, C. Duchnese, J. Dubois, and A. Chapiro. “Lithographic technique using radiation-induced grafting of acrylic acid into poly(methyl methacrylate) films”// Polym. Eng. Sci., vol. 20 Issue 16, p. 1069-1072 (1980).

43 H. Ku and L. Scala. “Polymeric Electron Beam Resists”// Electrochem. Soc., vol. 16 Issue 7, p. 980-985 (1969).

44 C. Ting. “Record of the llth Symposium on Electron, Ion and Laser Beam Technology”// edited by R. Thornley, “San-Francisco Press”, p. 337 (1971).

45 R. Harris. “Polymethyl Methacrylate as an Electron Sensitive Resist”// Electrochem. Soc., vol. 120 Issue 2, p. 270-274 (1973).

46 J. Greeneich. “Developer Characteristics of Poly-(Methyl Methacrylate) Electron Resist”// Elecirochem. Soc., vol. 122 Issue 7, p. 970-976 (1975).

47. M. Atoda, M. Komuro, and H. Kawakatsu. “Molecular-weight dependence of developed contours in poly- (methyl methacrylate) electron resists”// J. Appl. Phys., vol. 50 № 5, 3707-3712 (1979).

48. L. Gavens, D. Hess, B. Wu, A. Bell, and D. Soong. “Ultrahigh molecular weight poly(methyl methacrylate) as an electron-beam resist”// Journal of Vacuum Science & Technology B, vol. l №2, p. 481-486 (1983).

49. M. Bowden, L. Thompson, and J. Ballantyne. “Poly(butene-1 sufone) - a highly sensitive positive resist”// Journal of Vacuum Science & Technology B, vol. 12 №6, p. 1294-1298 (1975).

50. N. Viswanathan. “Radiation chemistry of polymer degradation processes: Molecular weight distribution effects”// Polym. Sci, Polym. Chem., vol. 14 Issue 6, p. 1553-1555 (1976).

51. S. V. Babin, I. Kostitsh, A. A. Svintsov. ”Direct Measurement o thermoeffect influence on resist sensitivity in EBL”// Microelectronic Engineering, vol. 17 Issues 1-4, p. 41-44 (1992).

52. S. V. Babin, I. Kostitsh, A. A. Svintsov. “Model and measurement of resist heating effect in EBL”// Proceedings of the SPIE, vol. 1671, p. 93-97 (1992).

53. D. F. Kyser and N. S. Viswanathan. "Monte Carlo simulation of spatially distributed beams in electron-beam lithography"// Journal of Vacuum Science & Technology B, vol. 12 №6, p. 1305-1308 (1975).

54. P. M. Mankewich, L. D. Jackel, and R. E. Howard. “Measurements of electron range and scattering in high voltage e-beam lithography”// Journal of Vacuum Science & Technology B, vol. 3 №3, p. 174-176 (1985).

55. D. Chow, J. McDonald, D. King, W. Smith, K. Molnair, and A. Steckl. ”An image processing approach to fast, efficient proximity correction for electron beam lithography”// Journal of Vacuum Science & Technology B, vol. 1 №4, p. 1383-1390 (1983).

56. M. Parikh. "Self-consistent proximity effect correction technique for resist exposure (SPECTRE)"// Journal of Vacuum Science & Technology B, vol. 15 №3, p. 931-933 (1978).

57. H. Eisenmann, T. Waas, and H. Hartmann. "PROXECCO - Proximity effect correction by convolution”// Journal of Vacuum Science & Technology B, vol. 11 №6, p. 2741-2745 (1993).

58. K. Harafuji, A. Misaka, K. Kawakita, N. Nomura, H. Hamaguchi, and M. Kawamoto. "Proximity effect correction data processing system for electron beam lithography"// Journal of Vacuum Science & Technology B, vol. 10 №1, p. 133-142 (1992).

59. V. V. Aristov, B. N. Gaifullin, A. A. Svintsov, S. I. Zaitsev, R. R. Jede and H. F. Raith. “Accuracy of proximity correction in electron lithography after development”// Journal of Vacuum Science & Technology B, vol. 10 № 6, p. 2459-2467 (1992).

60. V. V. Aristov, A. A. Svintsov and S. I. Zaitsev. “Guaranteed accuracy of the method of `simple' compensation in electron lithography”// Microelectronic Engineering, vol. 11 Issues 1-4, p. 641-644 (1990).

61. K. Cummings, R. Frye, E. Rietman. "Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system"// Appl. Phys. Lett., vol. 57, p. 1431-1433 (1990).

62. J. Jacob, S. Lee, J. McMillan, and N. MacDonald. "Fast proximity effect correction: An extension of PYRAMID for circuit patterns of arbitrary size"// Journal of Vacuum Science & Technology B, vol. 10 №6, p. 3077-3082 (1992).

63. B. D. Cook, S.-Y. Lee. "Fast proximity effect correction: An extension of PYRAMID for thicker resists"// Journal of Vacuum Science & Technology B, vol 11 № 6, p. 2762-2767, (1993).

64. G. Owen and P. Rissman. "Proximity effect correction for electron beam lithography by equalization of background dose"// J. Appl. Phys., vol. 54 №6, p. 3573-3581 (1983).

65. Tetsuro Nakasugi, Atsushi Ando, Ryoichi Inanami, Noriaki Sasaki, Kazuyoshi Sugihara, Motosuke Miyoshi and Hiromu Fujioka. “Edge roughness study of chemically amplified resist in low-energy electron-beam lithography using computer simulation”// Jpn. J. Appl. Phys. vol. 41, p. 4157-4162 (2002).

66. Toshio Sakamizu and Hiroshi Shiraishi. “Electron-beam nanolithography and line-edge roughness of acid-breakable resin-based positive resist”// Microelectronic Engineering, vol. 61-62 Issue 1, p. 763-770 (2002).

67. Christopher M Waits, Alireza Modafe and Reza Ghodssi. “Investigation of gray-scale technology for large area 3D silicon MEMS structures”// J. Micromech. Microeng., vol. 13, p. 170-177 (2003).

68. Stephen Y. Chou, Peter R. Krauss, and Preston J. Renstrom. “Nanoimprint lithography”// Journal of Vacuum Science & Technology B, vol. 14 №6, p. 4129- 4133 (1996).

69. Ernst-Bernhard Kley. “Continuous profile writing by electron and optical lithography”// Microelectronic Engineering, vol. 34 Issues 3-4, p. 261-298 (1997).

70. M. Tormen, T. Borzenko G. Schmidt, J. Liu, L.W. Molenkamp. “Thermocurable polymers as resists for imprint lithography”// Electronics Letters, vol. 36 Issue 11, p. 983-984 (2000).

71. Marc Beck. “Development of nanoimprint lithography for fabrication of electrochemical transducers”// PhD thesis, Division of solid state physics, department of physics, Lund University, Sweden (2003).

72. Y. Chen, K. Peng, Z. Cui. “A lift-off process for high resolution patterns using PMMA/LOR resist stack”// Microelectronic Engineering, vol. 73-74 Issue 1, p. 278-281 (2004).

73. P. Carlberg, M. Graczyk, E.-L. Sarwe, I. Maximov, M. Beck, L. Montelius. “Lift-off process for nanoimprint lithography”// Microelectronic Engineering, vol. 67-68 Issue 1, p. 203-207 (2003).

74. S. Park, H.Schift, C. Padeste, Bernhard, Schnyder, R. Kotz, J. Gobrecht. “Anti-adhesive layers on nickel stamps for nanoimprint lithography”// Microelectronic Engineering vol. 73-74 Issue 1, p. 196-201 (2004).

75. Nikolaos Kehagias, “Study of Nanoimprint Techniques for the Fabrication of 2-D and 3-D Photonic Devices”// PhD thesis, Physics Department, National University of Ireland, Cork, Irland (2007).

76. E. Delamarche, D. Juncker 1 2, H. Schmid. “Microfluidics for Processing Surfaces and Miniaturizing Biological Assays”// Advanced Materials, vol. 17 Issue 24, p. 2911-2933 (2005).

77. Kosuke Kuwabara, Masahiko Ogino, Shigehisa Motowaki and Akihiro Miyauchi. “Fluorescence measurements of nanopillars fabricated by highaspect-ratio nanoprint technology”// Microelectronic Engineering, vol. 73-74 Issue 1, p. 752-756 (2004).

78. J. C. Galas, B. Belier, A. Aassime, J. Palomo, D. Bouville, and J. Aubert. “Fabrication of three-dimensional microstructures using standard ultraviolet and electron-beam lithography”// Journal of Vacuum Science & Technology B, vol 22 № 3, p. 1160-1162 (2004).

79. V. A. Kudryashov, P. D. Prewett and A. G. Michette. “A new e-beam method for grey scale 3D optical elements”// Microelectronic Engineering, vol. 46 Issues 1-4, p. 209-212 (1999).

80. Masaki Nakajima, Takashi Yoshikawa, Kenji Sogo, and Yoshihiko Hirai. “Fabrication of multi-layered nano channels by reversal imprint lithography”// Microelectronic Engineering, vol. 83 Issues 4-9, p. 876-879 (2006).

81. Jem-Kun Chen, Fu-Hsiang Ko, Chia-Hao Chan, Chih-Feng Huang and Feng-Chih Chang. “Using imprinting technology to fabricate three-dimensional devices from moulds of thermosetting polymer patterns”// Semicond. Sci. Technol., vol. 21 № 9, p. 1213-1220 (2006).

82. S. Balslev, T. Rasmussen, P. Shi and A. Kristensen. “Grey scale electron-beam lithography in functionalized SU-8 for active optical devices”// Proceedings of SPIE, vol. 6110 Micromachining Technology for Micro-Optics and Nano-Optics IV, p. 61100C (2006).

83. E.Yablonovitch. “Inhibited Spontaneous Emission in Solid State Physics and Electronics”// Phys.Rev., vol. 58 № 20, p. 2059-2062 (1987).

84. S. John, “Strong Localization of Photons in Certain Disordered Dielectric Superlattices”// Phys. Rev. Lett., vol. 58, p. 2486-2489 (1987).

85. А.Ф. Вяткин, Е.Ю Гаврилин, Ю.Б. Горбатов, В.ВСтарков, В.В. Сироткин. «Формирование двумерных структур фотонных кристаллов в кремниидля ближнего ИК диапазона с использованием остросфокусированных ионных пучков»// Физика твердого тела, том 46 вып. 1, стр. 35-38 (2004).

86. Е. М. Аракчеева, Е. М. Танклевская, С. И. Нестеров, М. В. Максимов, С. А. Гуревич, J. Seekamp, C.M. Sotomayor Torres. «Получение фотонных кристаллов в структурах на основе полупроводников и полимеров с использованием метода наноимпринта»// Журнал технической физики, том 75 вып. 8, стр. 80-84 (2005).

87. Kana Aoki, Hideki T. Miyazaki, Hideki Hirayama, Kyoji Inoshita, Toshihiko Baba, Kazuaki Sakoda, Norio Shinya and Yoshinobu Aoyagi. “Microassembly of semiconductor three-dimensional photonic crystals”// Nature Materials, vol. 2, p. 117-121 (2003).

88. Susumu Noda, Katsuhiro Tomoda, Noritsugu Yamamoto, Alongkarn Chutinan. “Full Three-Dimensional Photonic Bandgap Crystals at Near-Infrared Wavelengths”// Science, vol. 289, p. 604-606 (2000).

89. В. Г. Голубев, Д. А. Курдюков, А. Б. Певцов, А. В. Селькин, Е.Б. Шадрин, А. В. Ильинский и Р. Боейинк. «Гистерезис фотонной зоны в фотонном кристалле VO2 при фазовом переходе полупроводник - металл»// Физика и техника полупроводников, том 36 вып. 9, стр. 1122-1127 (2002).

90. Yurii A. Vlasov, Nan Yao, and David J. Norris. “Synthesis of photonic crystals for optical wavelengths from semiconductor quantum dots”// Adv. Mater., vol. 11 № 2, p. 165-169 (1999).

91. Nikolaos Kehagias. “Study of Nanoimprint Techniques for the Fabrication of 2-D and 3-D Photonic Devices”// PhD thesis, Physics Department, National University of Ireland, Cork, Irland (2007).

92. D. Nilsson, T. Nielsen, and A. Kristensen. “Solid state micro-cavity dye lasers fabricated by nanoimprint lithography”// Review of Scientific Instruments, vol. 75, p. 4481-4486 (2004).

93. V.V. Aristov, B.N. Gaifullin, H.F. Raith, A.A. Svintsov, S.I. Zaitsev and R. Jede. “Proximity correction in electron lithography with guaranteed accuracy after development”// Journal of Vacuum Science & Technology B, vol. 10 №6, p. 2459-2467 (1992).

94. L.I. Aparshina, S.V Dubonos, S.V. Maksimov, A.A. Svintsov, and S.I. Zaitsev. “Energy dependence of proximity parameters investigated by fitting before measurement test”// Journal of Vacuum Science & Technology B, vol.15 №6, p. 2298-2302 (1997).

95. S.V Dubonos, B.N. Gaifullin, H.F. Raith, A.A. Svintsov and S.I. Zaitsev. “Evaluation, Verification and Error Determination of Proximity Parameters б, в and з in Electron Beam Lithography”// Microelectronic Engineering, vol. 21 Issues 1-4, p. 293-296 (1993).

96. S.V.Dubonos, B.N.Gaifullin, H.F.Raith, A.A.Svintsov and S.I.Zaitsev. “Proximity correction for 3D structures”// Microelectronic Engineering, vol. 27 Issues 1-4, p. 195-198 (1995).

97. Н. Ашкрофт и Н. Мермин. “Физика твердого тела”// «МИР», стр. 129 (1979).

98. Kurt Busch and Sajeev John. “Photonic band gap formation in certain self-organizing systems”// Phys. Rev. E, vol. 58 №3, p. 3896-3908 (1998).

99. Д. Гартия. «Квазикристаллы»// УФН, том 156 вып. 2, стр. 347-364 (1988).

100. N. G. de Bruijn. “Algebraic theory of Penrose non-periodic tilings”// Ned. Akad. Wetensch., Proc. Ser A, vol. 43, p. 39-66 (1981).

101. Michel Duneau and Andre Katz. “Quasiperiodic Patterns”// Phys. Rev. Let., vol. 54 № 25, p. 2688-2691 (1985).

Размещено на Allbest.ru


Подобные документы

  • Понятия электронной коммерции. Развитие электронной коммерции в мире. Перспективы развития электронной коммерции в России. Расчеты в системах электронной коммерции. Алгоритмы и схемы взаимодействия пользователей. Налогообложение и электронные деньги.

    дипломная работа [966,4 K], добавлен 16.06.2012

  • Характеристика процессов электронной коммерции в книготорговой деятельности и практической разработке системы электронной торговли на примере книжного Web-магазина. Изучение организационных принципов электронной коммерции и нормативно-правовой базы.

    дипломная работа [1,4 M], добавлен 16.06.2017

  • Методология преобразования произвольной программы в структурированную с помощью сокращенной матрицы смежности. Проверка функциональной эквивалентности выделенного неструктурированного фрагмента исходной программы и полученного структурированного аналога.

    контрольная работа [109,3 K], добавлен 15.03.2009

  • Развитие информационного бизнеса, электронной коммерции на основе Интернет. Описание предметной области, процессов и типичного хода событий при создании информационной системы виртуального предприятия. Калькуляция разработки электронной торговли.

    курсовая работа [872,8 K], добавлен 22.05.2015

  • Служба телеконференции. Электронная коммерция и история ее развития. Первые системы электронной коммерции. Рынок электронной коммерции. Разновидности бизнеса в интернете, его глобализация. Электронные платежные системы. Безопасность электронной коммерции.

    реферат [33,4 K], добавлен 12.04.2009

  • Разработка системы для автоматизации процессов подготовки и отправки сообщений деловым партнерам организации по электронной почте. Требования к структуре и функционированию данной системы, основные этапы ее создания и порядок введения в действие.

    курсовая работа [1,4 M], добавлен 20.11.2013

  • Организационно-правовое обеспечение электронной цифровой подписи. Закон "Об электронной цифровой подписи". Функционирование ЭЦП: открытый и закрытый ключи, формирование подписи и отправка сообщения. Проверка (верификация) и сфера применения ЭЦП.

    курсовая работа [22,9 K], добавлен 14.12.2011

  • Общая характеристика электронной подписи, ее признаки и составляющие, основные принципы и преимущества применения. Использование электронной цифровой подписи в России и за рубежом. Правовое признание ее действительности. Сертификат ключа проверки ЭЦП.

    курсовая работа [27,2 K], добавлен 11.12.2014

  • Сущность понятия электронной почты, ее возможности в современной сети Интернет. Основные угрозы, мешающие работе этой формы электронной коммуникации. Особенности способов информационной защиты, принципы корректного использования электронной почты.

    контрольная работа [20,0 K], добавлен 28.12.2012

  • Исследование программных продуктов на туристическом рынке. Разработка информационной системы для менеджера туристической фирмы, отвечающей современному стандарту. Проектирование и структурирование базы данных. Моделирование бизнес-процессов в турфирме.

    дипломная работа [4,0 M], добавлен 23.09.2013

Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д.
PPT, PPTX и PDF-файлы представлены только в архивах.
Рекомендуем скачать работу.